From 1e74015da5f32d8bf8bcb8d01ff093a33883cd6c Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Motiejus=20Jak=C5=A1tys?= Date: Tue, 13 Dec 2022 23:03:32 +0200 Subject: [PATCH] upgrade bazel-zig-cc and benchmarks Note that this was now taken on a machine with active anti-virus. Previously it was anti-virus-free. --- README.md | 12 +- results/llvm-nosandbox.svg | 111 ++-------- results/llvm-reuse-sandbox-dirs.svg | 113 ++-------- results/llvm.svg | 113 ++-------- results/profile.txt | 309 ++++++++++++++------------- results/zigcc-nosandbox.svg | 113 ++-------- results/zigcc-reuse-sandbox-dirs.svg | 113 ++-------- results/zigcc.svg | 113 ++-------- 8 files changed, 276 insertions(+), 721 deletions(-) diff --git a/README.md b/README.md index 6080d7b..aaba16a 100644 --- a/README.md +++ b/README.md @@ -25,14 +25,14 @@ Baseline llvm14 without sandbox: 12 seconds: bazel build --extra_toolchains=@llvm_toolchain_with_sysroot//:cc-toolchain-x86_64-linux //:zigzag-0 bazel clean; bazel shutdown bazel --batch build --spawn_strategy=local --extra_toolchains=@llvm_toolchain_with_sysroot//:cc-toolchain-x86_64-linux ... - INFO: Elapsed time: 11.971s, Critical Path: 0.41s + INFO: Elapsed time: 13.268s, Critical Path: 1.19s zig cc without the sandbox. 15 seconds: bazel build --spawn_strategy=local --platforms=@zig_sdk//libc_aware/platform:linux_amd64_gnu.2.28 //:zigzag-0 bazel clean; bazel shutdown bazel --batch build --spawn_strategy=local --platforms=@zig_sdk//libc_aware/platform:linux_amd64_gnu.2.28 ... - INFO: Elapsed time: 15.631s, Critical Path: 1.99s + INFO: Elapsed time: 23.836s, Critical Path: 3.47s Cost of Sandbox --------------- @@ -42,14 +42,14 @@ llvm 14: bazel build --extra_toolchains=@llvm_toolchain_with_sysroot//:cc-toolchain-x86_64-linux //:zigzag-0 bazel clean; bazel shutdown bazel --batch build --extra_toolchains=@llvm_toolchain_with_sysroot//:cc-toolchain-x86_64-linux ... - INFO: Elapsed time: 109.677s, Critical Path: 13.60s + INFO: Elapsed time: 89.776s, Critical Path: 12.01s zig cc: bazel build --platforms=@zig_sdk//libc_aware/platform:linux_amd64_gnu.2.28 //:zigzag-0 bazel clean; bazel shutdown bazel --batch build --platforms=@zig_sdk//libc_aware/platform:linux_amd64_gnu.2.28 ... - INFO: Elapsed time: 116.370s, Critical Path: 16.23s + INFO: Elapsed time: 58.919s, Critical Path: 8.38s Possible mitigation: Sandboxfs ------------------------------ @@ -88,14 +88,14 @@ llvm 14: bazel build --experimental_reuse_sandbox_directories --extra_toolchains=@llvm_toolchain_with_sysroot//:cc-toolchain-x86_64-linux //:zigzag-0 bazel clean; bazel shutdown bazel --batch build --experimental_reuse_sandbox_directories --extra_toolchains=@llvm_toolchain_with_sysroot//:cc-toolchain-x86_64-linux ... - INFO: Elapsed time: 25.252s, Critical Path: 4.44s + INFO: Elapsed time: 18.335s, Critical Path: 2.00s zig cc: bazel build --experimental_reuse_sandbox_directories --platforms=@zig_sdk//libc_aware/platform:linux_amd64_gnu.2.28 //:zigzag-0 bazel clean; bazel shutdown bazel --batch build --experimental_reuse_sandbox_directories --platforms=@zig_sdk//libc_aware/platform:linux_amd64_gnu.2.28 ... - INFO: Elapsed time: 29.132s, Critical Path: 3.88s + INFO: Elapsed time: 34.777s, Critical Path: 4.71s Flame graphs and discussion diff --git a/results/llvm-nosandbox.svg b/results/llvm-nosandbox.svg index aa13dc8..c04d52b 100644 --- a/results/llvm-nosandbox.svg +++ b/results/llvm-nosandbox.svg @@ -1,8 +1,7 @@ \ No newline at end of file +]]>Flame Graph Reset ZoomSearch _dl_update_slotinfo (170 samples, 0.06%)resource_allocate_bytes (32 samples, 0.01%)update_get_addr (57 samples, 0.02%)[anon] (1,638 samples, 0.54%)[perf-720743.map] (178 samples, 0.06%)[unknown] (103 samples, 0.03%)CompileBroker::collect_statistics (34 samples, 0.01%)jio_vsnprintf (63 samples, 0.02%)os::vsnprintf (62 samples, 0.02%)__vsnprintf_internal (59 samples, 0.02%)__vfprintf_internal (50 samples, 0.02%)CompileBroker::post_compile (71 samples, 0.02%)StringEventLog::log (69 samples, 0.02%)Method::print_short_name (54 samples, 0.02%)CompileTask::print (112 samples, 0.04%)outputStream::print (55 samples, 0.02%)outputStream::do_vsnprintf_and_write_with_automatic_buffer (53 samples, 0.02%)BlockBegin::iterate_preorder (36 samples, 0.01%)BlockBegin::iterate_preorder (47 samples, 0.02%)BlockBegin::iterate_preorder (67 samples, 0.02%)BlockBegin::iterate_preorder (107 samples, 0.04%)SubstitutionResolver::block_do (34 samples, 0.01%)BlockBegin::iterate_preorder (171 samples, 0.06%)SubstitutionResolver::block_do (56 samples, 0.02%)SubstitutionResolver::block_do (76 samples, 0.02%)BlockBegin::iterate_preorder (261 samples, 0.09%)BlockBegin::iterate_preorder (264 samples, 0.09%)ValueMap::ValueMap (39 samples, 0.01%)ValueMap::find_insert (56 samples, 0.02%)GlobalValueNumbering::GlobalValueNumbering (498 samples, 0.16%)BlockBegin::iterate_preorder (34 samples, 0.01%)BlockBegin::iterate_preorder (53 samples, 0.02%)BlockBegin::iterate_preorder (72 samples, 0.02%)BlockBegin::iterate_preorder (99 samples, 0.03%)BlockBegin::iterate_preorder (126 samples, 0.04%)BlockBegin::iterate_preorder (128 samples, 0.04%)ciMethod::get_method_blocks (33 samples, 0.01%)ciMethodBlocks::ciMethodBlocks (32 samples, 0.01%)MethodLiveness::init_basic_blocks (71 samples, 0.02%)BlockListBuilder::set_leaders (140 samples, 0.05%)ciMethod::bci_block_start (102 samples, 0.03%)MethodLiveness::compute_liveness (99 samples, 0.03%)BlockListBuilder::BlockListBuilder (158 samples, 0.05%)GraphBuilder::connect_to_end (45 samples, 0.01%)BlockBegin::try_merge (54 samples, 0.02%)ciEnv::get_klass_by_name_impl (68 samples, 0.02%)ciEnv::get_klass_by_index_impl (85 samples, 0.03%)ciField::ciField (127 samples, 0.04%)ciEnv::get_field_by_index (144 samples, 0.05%)ciBytecodeStream::get_field (166 samples, 0.05%)GraphBuilder::access_field (228 samples, 0.07%)GraphBuilder::append_with_bci (39 samples, 0.01%)MethodLiveness::get_liveness_at (32 samples, 0.01%)BlockBegin::try_merge (65 samples, 0.02%)ciMethod::liveness_at_bci (36 samples, 0.01%)ciEnv::get_klass_by_name_impl (44 samples, 0.01%)ciEnv::get_klass_by_index_impl (58 samples, 0.02%)ciField::ciField (97 samples, 0.03%)ciEnv::get_field_by_index (112 samples, 0.04%)ciBytecodeStream::get_field (133 samples, 0.04%)GraphBuilder::access_field (190 samples, 0.06%)BlockBegin::try_merge (35 samples, 0.01%)ciField::ciField (36 samples, 0.01%)ciEnv::get_field_by_index (41 samples, 0.01%)ciBytecodeStream::get_field (46 samples, 0.02%)GraphBuilder::access_field (75 samples, 0.02%)GraphBuilder::access_field (39 samples, 0.01%)GraphBuilder::iterate_all_blocks (47 samples, 0.02%)GraphBuilder::iterate_bytecodes_for_block (46 samples, 0.02%)GraphBuilder::try_inline_full (57 samples, 0.02%)GraphBuilder::try_inline (61 samples, 0.02%)GraphBuilder::invoke (84 samples, 0.03%)GraphBuilder::iterate_all_blocks (131 samples, 0.04%)GraphBuilder::iterate_bytecodes_for_block (131 samples, 0.04%)GraphBuilder::try_inline_full (189 samples, 0.06%)GraphBuilder::try_inline (203 samples, 0.07%)ciBytecodeStream::get_method (39 samples, 0.01%)ciEnv::get_method_by_index_impl (35 samples, 0.01%)GraphBuilder::invoke (276 samples, 0.09%)GraphBuilder::iterate_all_blocks (384 samples, 0.13%)GraphBuilder::iterate_bytecodes_for_block (383 samples, 0.13%)BlockListBuilder::BlockListBuilder (34 samples, 0.01%)GraphBuilder::push_scope (52 samples, 0.02%)ciMethod::ensure_method_data (45 samples, 0.01%)ciMethod::ensure_method_data (43 samples, 0.01%)GraphBuilder::try_inline_full (514 samples, 0.17%)GraphBuilder::try_inline (31 samples, 0.01%)GraphBuilder::invoke (34 samples, 0.01%)GraphBuilder::iterate_all_blocks (45 samples, 0.01%)GraphBuilder::iterate_bytecodes_for_block (45 samples, 0.01%)GraphBuilder::try_inline (47 samples, 0.02%)GraphBuilder::try_inline_full (47 samples, 0.02%)GraphBuilder::try_inline (570 samples, 0.19%)GraphBuilder::try_method_handle_inline (50 samples, 0.02%)ciMethod::ciMethod (53 samples, 0.02%)ciSignature::ciSignature (41 samples, 0.01%)ciObjectFactory::get_metadata (66 samples, 0.02%)ciObjectFactory::create_new_metadata (58 samples, 0.02%)ciEnv::get_method_by_index_impl (110 samples, 0.04%)ciBytecodeStream::get_method (117 samples, 0.04%)GraphBuilder::invoke (749 samples, 0.25%)GraphBuilder::method_return (42 samples, 0.01%)GraphBuilder::iterate_all_blocks (1,003 samples, 0.33%)GraphBuilder::iterate_bytecodes_for_block (995 samples, 0.33%)BlockListBuilder::set_leaders (64 samples, 0.02%)ciMethod::bci_block_start (47 samples, 0.02%)MethodLiveness::compute_liveness (45 samples, 0.01%)BlockListBuilder::BlockListBuilder (78 samples, 0.03%)GraphBuilder::push_scope (114 samples, 0.04%)ciMethodData::load_data (50 samples, 0.02%)ciMethod::ensure_method_data (74 samples, 0.02%)ciMethod::ensure_method_data (78 samples, 0.03%)GraphBuilder::try_inline_full (1,243 samples, 0.41%)GraphBuilder::invoke (40 samples, 0.01%)GraphBuilder::iterate_all_blocks (48 samples, 0.02%)GraphBuilder::iterate_bytecodes_for_block (48 samples, 0.02%)GraphBuilder::try_inline (52 samples, 0.02%)GraphBuilder::try_inline_full (52 samples, 0.02%)GraphBuilder::try_method_handle_inline (54 samples, 0.02%)GraphBuilder::try_inline (1,321 samples, 0.43%)ciEnv::lookup_method (67 samples, 0.02%)ciEnv::get_klass_by_name_impl (34 samples, 0.01%)ciMethod::ciMethod (107 samples, 0.04%)ciSignature::ciSignature (89 samples, 0.03%)ciObjectFactory::get_metadata (125 samples, 0.04%)ciObjectFactory::create_new_metadata (117 samples, 0.04%)ciBytecodeStream::get_method (232 samples, 0.08%)ciEnv::get_method_by_index_impl (221 samples, 0.07%)GraphBuilder::invoke (1,679 samples, 0.55%)GraphBuilder::method_return (54 samples, 0.02%)GraphBuilder::iterate_all_blocks (2,145 samples, 0.71%)GraphBuilder::iterate_bytecodes_for_block (2,133 samples, 0.70%)MethodLiveness::init_basic_blocks (47 samples, 0.02%)MethodLiveness::compute_liveness (71 samples, 0.02%)BlockListBuilder::set_leaders (95 samples, 0.03%)ciMethod::bci_block_start (74 samples, 0.02%)BlockListBuilder::BlockListBuilder (117 samples, 0.04%)GraphBuilder::push_scope (176 samples, 0.06%)MethodData::initialize (43 samples, 0.01%)MethodData::allocate (93 samples, 0.03%)Method::build_interpreter_method_data (95 samples, 0.03%)ciMethodData::load_data (58 samples, 0.02%)ciMethod::ensure_method_data (185 samples, 0.06%)ciMethod::ensure_method_data (178 samples, 0.06%)GraphBuilder::try_inline_full (2,627 samples, 0.86%)GraphBuilder::try_inline (2,653 samples, 0.87%)LinkResolver::resolve_method (55 samples, 0.02%)LinkResolver::linktime_resolve_virtual_method_or_null (61 samples, 0.02%)LinkResolver::resolve_static_call_or_null (35 samples, 0.01%)ciEnv::lookup_method (140 samples, 0.05%)SignatureStream::as_symbol (50 samples, 0.02%)SymbolTable::lookup (49 samples, 0.02%)ciEnv::get_klass_by_name_impl (67 samples, 0.02%)ciSignature::ciSignature (160 samples, 0.05%)ciMethod::ciMethod (206 samples, 0.07%)ciObjectFactory::get_metadata (240 samples, 0.08%)ciObjectFactory::create_new_metadata (217 samples, 0.07%)ciEnv::get_method_by_index_impl (427 samples, 0.14%)ciBytecodeStream::get_method (456 samples, 0.15%)ClassHierarchyWalker::is_witness (34 samples, 0.01%)Dependencies::find_unique_concrete_method (39 samples, 0.01%)ClassHierarchyWalker::find_witness_anywhere (39 samples, 0.01%)ciMethod::find_monomorphic_target (59 samples, 0.02%)GraphBuilder::invoke (3,382 samples, 1.11%)GraphBuilder::iterate_bytecodes_for_block (3,923 samples, 1.29%)GraphBuilder::iterate_all_blocks (3,986 samples, 1.31%)GraphBuilder::setup_start_block (44 samples, 0.01%)GraphBuilder::GraphBuilder (4,370 samples, 1.44%)IR::IR (4,386 samples, 1.44%)ComputeLinearScanOrder::compute_order (45 samples, 0.01%)ComputeLinearScanOrder::ComputeLinearScanOrder (76 samples, 0.02%)IR::compute_code (81 samples, 0.03%)BlockList::iterate_backward (99 samples, 0.03%)UseCountComputer::block_do (96 samples, 0.03%)ValueStack::values_do (40 samples, 0.01%)IR::compute_use_counts (136 samples, 0.04%)NullCheckEliminator::merge_state_for (34 samples, 0.01%)NullCheckEliminator::iterate_one (203 samples, 0.07%)IR::eliminate_null_checks (242 samples, 0.08%)Optimizer::eliminate_null_checks (241 samples, 0.08%)BlockBegin::iterate_preorder (35 samples, 0.01%)BlockBegin::iterate_preorder (48 samples, 0.02%)IR::optimize_blocks (99 samples, 0.03%)Optimizer::eliminate_conditional_expressions (74 samples, 0.02%)BlockBegin::iterate_preorder (74 samples, 0.02%)BlockBegin::iterate_preorder (72 samples, 0.02%)IR::split_critical_edges (61 samples, 0.02%)RangeCheckElimination::eliminate (37 samples, 0.01%)Compilation::build_hir (5,557 samples, 1.83%)C..DebugInformationRecorder::create_scope_values (45 samples, 0.01%)LIR_Assembler::add_call_info (100 samples, 0.03%)CodeEmitInfo::record_debug_info (100 samples, 0.03%)LIR_Assembler::call (115 samples, 0.04%)LIR_Assembler::emit_static_call_stub (36 samples, 0.01%)LIR_Assembler::emit_call (205 samples, 0.07%)LIR_Assembler::emit_op0 (43 samples, 0.01%)LIR_Assembler::mem2reg (43 samples, 0.01%)LIR_Assembler::emit_op1 (178 samples, 0.06%)LIR_Assembler::emit_op2 (31 samples, 0.01%)LIR_Assembler::emit_profile_call (74 samples, 0.02%)LIR_Assembler::emit_opTypeCheck (40 samples, 0.01%)LIR_OpTypeCheck::emit_code (42 samples, 0.01%)LIR_Assembler::emit_code (801 samples, 0.26%)LIR_Assembler::emit_exception_handler (47 samples, 0.02%)MacroAssembler::stop (38 samples, 0.01%)DebugInformationRecorder::find_sharable_decode_offset (44 samples, 0.01%)DebugInformationRecorder::create_scope_values (69 samples, 0.02%)DebugInformationRecorder::find_sharable_decode_offset (31 samples, 0.01%)DebugInformationRecorder::describe_scope (53 samples, 0.02%)CodeEmitInfo::record_debug_info (169 samples, 0.06%)LIR_Assembler::add_call_info (171 samples, 0.06%)CounterOverflowStub::emit_code (207 samples, 0.07%)CodeEmitInfo::record_debug_info (71 samples, 0.02%)LIR_Assembler::add_call_info (73 samples, 0.02%)ImplicitNullCheckStub::emit_code (81 samples, 0.03%)LIR_Assembler::add_call_info (31 samples, 0.01%)CodeEmitInfo::record_debug_info (31 samples, 0.01%)NewInstanceStub::emit_code (39 samples, 0.01%)PatchingStub::emit_code (39 samples, 0.01%)LIR_Assembler::emit_slow_case_stubs (442 samples, 0.15%)Compilation::emit_code_body (1,347 samples, 0.44%)LIRGenerator::block_do_prolog (34 samples, 0.01%)LIRGenerator::increment_event_counter (34 samples, 0.01%)LIRGenerator::increment_event_counter_impl (31 samples, 0.01%)LIRGenerator::do_Base (110 samples, 0.04%)LIRGenerator::move_to_phi (60 samples, 0.02%)PhiResolver::create_node (50 samples, 0.02%)LIRGenerator::move_to_phi (269 samples, 0.09%)PhiResolverState::reset (195 samples, 0.06%)GrowableArray<ResolveNode*>::grow (48 samples, 0.02%)LIRGenerator::do_Goto (304 samples, 0.10%)LIRGenerator::do_If (63 samples, 0.02%)FrameMap::java_calling_convention (31 samples, 0.01%)MethodLiveness::get_liveness_at (37 samples, 0.01%)LIRGenerator::state_for (61 samples, 0.02%)ciMethod::liveness_at_bci (39 samples, 0.01%)LIRGenerator::do_Invoke (151 samples, 0.05%)LIRGenerator::do_LoadField (48 samples, 0.02%)LIRGenerator::do_NewInstance (40 samples, 0.01%)LIRGenerator::increment_event_counter_impl (38 samples, 0.01%)MethodLiveness::get_liveness_at (36 samples, 0.01%)LIRGenerator::state_for (62 samples, 0.02%)ciMethod::liveness_at_bci (43 samples, 0.01%)LIRGenerator::do_ProfileInvoke (113 samples, 0.04%)LIRGenerator::do_StoreField (36 samples, 0.01%)LIRGenerator::block_do (1,075 samples, 0.35%)BlockList::iterate_forward (1,079 samples, 0.35%)ControlFlowOptimizer::optimize (41 samples, 0.01%)EdgeMoveOptimizer::optimize (32 samples, 0.01%)IntervalWalker::walk_to (156 samples, 0.05%)IntervalWalker::append_to_unhandled (41 samples, 0.01%)LinearScanWalker::find_free_reg (138 samples, 0.05%)LinearScanWalker::free_collect_inactive_fixed (175 samples, 0.06%)Interval::new_split_child (38 samples, 0.01%)Interval::split (69 samples, 0.02%)LinearScanWalker::split_before_usage (97 samples, 0.03%)LinearScanWalker::alloc_free_reg (556 samples, 0.18%)IntervalWalker::append_to_unhandled (49 samples, 0.02%)LinearScanWalker::split_and_spill_interval (87 samples, 0.03%)LinearScanWalker::split_before_usage (37 samples, 0.01%)Interval::split_child_before_op_id (34 samples, 0.01%)LinearScanWalker::alloc_locked_reg (182 samples, 0.06%)LinearScanWalker::split_for_spilling (48 samples, 0.02%)LinearScanWalker::insert_move (39 samples, 0.01%)LinearScanWalker::activate_current (854 samples, 0.28%)IntervalWalker::walk_to (1,064 samples, 0.35%)LinearScanWalker::LinearScanWalker (85 samples, 0.03%)resource_allocate_bytes (58 samples, 0.02%)LinearScan::allocate_registers (1,175 samples, 0.39%)LIR_OpVisitState::visit (88 samples, 0.03%)Interval::split_child_at_op_id (48 samples, 0.02%)LinearScan::color_lir_opr (90 samples, 0.03%)LinearScan::append_scope_value (34 samples, 0.01%)LinearScan::compute_debug_info_for_scope (56 samples, 0.02%)LinearScan::compute_debug_info_for_scope (112 samples, 0.04%)LinearScan::compute_debug_info_for_scope (195 samples, 0.06%)IntervalWalker::walk_to (110 samples, 0.04%)LinearScan::compute_oop_map (219 samples, 0.07%)LinearScan::compute_oop_map (245 samples, 0.08%)LinearScan::assign_reg_num (808 samples, 0.27%)LinearScan::assign_reg_num (847 samples, 0.28%)BitMap::get_next_one_offset (38 samples, 0.01%)Interval::add_use_pos (32 samples, 0.01%)LIR_OpVisitState::visit (94 samples, 0.03%)LIR_OpVisitState::append (36 samples, 0.01%)LinearScan::add_def (72 samples, 0.02%)Interval::add_range (41 samples, 0.01%)Interval::Interval (33 samples, 0.01%)LinearScan::add_temp (107 samples, 0.04%)LinearScan::create_interval (54 samples, 0.02%)Interval::add_range (53 samples, 0.02%)Interval::Interval (67 samples, 0.02%)LinearScan::create_interval (107 samples, 0.04%)LinearScan::add_use (212 samples, 0.07%)LinearScan::use_kind_of_input_operand (33 samples, 0.01%)LinearScan::build_intervals (952 samples, 0.31%)LinearScan::compute_global_live_sets (77 samples, 0.03%)LIR_OpVisitState::visit (103 samples, 0.03%)ResourceBitMap::ResourceBitMap (38 samples, 0.01%)LinearScan::compute_local_live_sets (325 samples, 0.11%)LinearScan::eliminate_spill_moves (76 samples, 0.02%)LinearScan::number_instructions (44 samples, 0.01%)Interval::split_child_at_op_id (52 samples, 0.02%)LinearScan::resolve_collect_mappings (106 samples, 0.03%)LinearScan::resolve_data_flow (158 samples, 0.05%)LinearScan::resolve_exception_handlers (58 samples, 0.02%)__GI___qsort_r (39 samples, 0.01%)msort_with_tmp (37 samples, 0.01%)msort_with_tmp (35 samples, 0.01%)msort_with_tmp (34 samples, 0.01%)msort_with_tmp (33 samples, 0.01%)msort_with_tmp (31 samples, 0.01%)LinearScan::sort_intervals_after_allocation (64 samples, 0.02%)LinearScan::sort_intervals_before_allocation (54 samples, 0.02%)LinearScan::do_linear_scan (3,878 samples, 1.28%)Compilation::emit_lir (5,013 samples, 1.65%)Method::build_interpreter_method_data (69 samples, 0.02%)MethodData::allocate (65 samples, 0.02%)ciMethodData::load_data (58 samples, 0.02%)ciMethod::ensure_method_data (143 samples, 0.05%)Compilation::compile_java_method (12,098 samples, 3.98%)Comp..ciMethod::ensure_method_data (150 samples, 0.05%)Compilation::initialize (50 samples, 0.02%)CodeBuffer::finalize_oop_references (91 samples, 0.03%)CodeHeap::allocate (48 samples, 0.02%)CodeCache::allocate (78 samples, 0.03%)__x64_sys_futex (35 samples, 0.01%)do_futex (34 samples, 0.01%)futex_wake (34 samples, 0.01%)wake_up_q (31 samples, 0.01%)do_syscall_64 (39 samples, 0.01%)___pthread_cond_signal (41 samples, 0.01%)futex_wake (41 samples, 0.01%)entry_SYSCALL_64_after_hwframe (40 samples, 0.01%)CallRelocation::fix_relocation_after_move (38 samples, 0.01%)Relocation::pd_call_destination (34 samples, 0.01%)__memmove_sse2_unaligned_erms (36 samples, 0.01%)CodeBuffer::relocate_code_to (140 samples, 0.05%)CodeBuffer::copy_code_to (164 samples, 0.05%)CodeBlob::CodeBlob (36 samples, 0.01%)ImmutableOopMapSet::build_from (35 samples, 0.01%)CompiledMethod::CompiledMethod (48 samples, 0.02%)G1CodeRootSet::add (38 samples, 0.01%)nmethod::oops_do (64 samples, 0.02%)G1CollectedHeap::register_nmethod (65 samples, 0.02%)nmethod::nmethod (363 samples, 0.12%)nmethod::new_nmethod (609 samples, 0.20%)ciEnv::register_method (692 samples, 0.23%)Compilation::compile_method (12,853 samples, 4.23%)Compi..Compilation::Compilation (12,865 samples, 4.23%)Compi..Compiler::compile_method (12,886 samples, 4.24%)Compi..ciObjectFactory::ciObjectFactory (40 samples, 0.01%)ciObjectFactory::get (57 samples, 0.02%)ciObjectFactory::get_metadata (36 samples, 0.01%)ciEnv::ciEnv (109 samples, 0.04%)SignatureStream::as_symbol (42 samples, 0.01%)SymbolTable::lookup (41 samples, 0.01%)ciEnv::get_klass_by_name_impl (39 samples, 0.01%)ciSignature::ciSignature (122 samples, 0.04%)ciMethod::ciMethod (135 samples, 0.04%)ciEnv::get_method_from_handle (158 samples, 0.05%)ciObjectFactory::get_metadata (151 samples, 0.05%)ciObjectFactory::create_new_metadata (148 samples, 0.05%)ciEnv::~ciEnv (36 samples, 0.01%)ciObjectFactory::remove_symbols (32 samples, 0.01%)CompileBroker::invoke_compiler_on_method (13,499 samples, 4.44%)Compi..__do_sys_sysinfo (40 samples, 0.01%)do_sysinfo.isra.0 (36 samples, 0.01%)__x64_sys_sysinfo (41 samples, 0.01%)entry_SYSCALL_64_after_hwframe (43 samples, 0.01%)do_syscall_64 (43 samples, 0.01%)__sysinfo (46 samples, 0.02%)CompileBroker::possibly_add_compiler_threads (65 samples, 0.02%)os::available_memory (50 samples, 0.02%)__perf_event_task_sched_in (61 samples, 0.02%)x86_pmu_enable (60 samples, 0.02%)intel_pmu_enable_all (60 samples, 0.02%)native_write_msr (60 samples, 0.02%)finish_task_switch.isra.0 (64 samples, 0.02%)futex_wait_queue_me (81 samples, 0.03%)schedule (75 samples, 0.02%)__schedule (74 samples, 0.02%)do_futex (91 samples, 0.03%)futex_wait (91 samples, 0.03%)__x64_sys_futex (92 samples, 0.03%)__GI___futex_abstimed_wait_cancelable64 (99 samples, 0.03%)__futex_abstimed_wait_common (99 samples, 0.03%)__futex_abstimed_wait_common64 (99 samples, 0.03%)entry_SYSCALL_64_after_hwframe (97 samples, 0.03%)do_syscall_64 (97 samples, 0.03%)___pthread_cond_timedwait64 (105 samples, 0.03%)__pthread_cond_wait_common (105 samples, 0.03%)Monitor::wait (116 samples, 0.04%)Monitor::IWait (115 samples, 0.04%)os::PlatformEvent::park (112 samples, 0.04%)TieredThresholdPolicy::select_task (90 samples, 0.03%)CompileQueue::get (246 samples, 0.08%)CompileBroker::compiler_thread_loop (13,833 samples, 4.55%)Compi..__clone3 (13,835 samples, 4.55%)__clo..start_thread (13,835 samples, 4.55%)start..thread_native_entry (13,835 samples, 4.55%)threa..Thread::call_run (13,835 samples, 4.55%)Threa..JavaThread::thread_main_inner (13,835 samples, 4.55%)JavaT..C1_CompilerThre (15,888 samples, 5.23%)C1_Com..PhaseChaitin::compute_initial_block_pressure (54 samples, 0.02%)RegMask::is_UP (51 samples, 0.02%)PhaseChaitin::gather_lrg_masks (38 samples, 0.01%)PhaseIdealLoop::build_loop_early (126 samples, 0.04%)ProjNode::pinned (123 samples, 0.04%)CProjNode::is_CFG (36 samples, 0.01%)IfFalseNode::Opcode (41 samples, 0.01%)IndexSetIterator::advance_and_next (78 samples, 0.03%)MultiNode::is_CFG (93 samples, 0.03%)Node::is_CFG (108 samples, 0.04%)Node::pinned (35 samples, 0.01%)PhiNode::Opcode (46 samples, 0.02%)ProjNode::pinned (36 samples, 0.01%)RegionNode::is_CFG (63 samples, 0.02%)_dl_update_slotinfo (197 samples, 0.06%)find_lowest_bit (71 samples, 0.02%)jmpDirNode::is_block_proj (31 samples, 0.01%)update_get_addr (88 samples, 0.03%)[anon] (4,498 samples, 1.48%)[perf-720743.map] (31 samples, 0.01%)Compile::inline_string_calls (36 samples, 0.01%)ciTypeFlow::flow_block (36 samples, 0.01%)ciTypeFlow::StateVector::apply_one_bytecode (33 samples, 0.01%)ciTypeFlow::df_flow_types (42 samples, 0.01%)Compile::call_generator (50 samples, 0.02%)InlineTree::ok_to_inline (50 samples, 0.02%)ciMethod::get_flow_analysis (46 samples, 0.02%)ciTypeFlow::do_flow (46 samples, 0.02%)ciTypeFlow::flow_types (46 samples, 0.02%)ciEnv::get_field_by_index (33 samples, 0.01%)ciTypeFlow::StateVector::do_getstatic (41 samples, 0.01%)ciBytecodeStream::get_field (39 samples, 0.01%)ciObjectFactory::get_metadata (39 samples, 0.01%)ciObjectFactory::create_new_metadata (38 samples, 0.01%)ciMethod::ciMethod (33 samples, 0.01%)ciEnv::get_method_by_index_impl (73 samples, 0.02%)ciBytecodeStream::get_method (77 samples, 0.03%)ciTypeFlow::StateVector::do_invoke (80 samples, 0.03%)ciTypeFlow::StateVector::apply_one_bytecode (137 samples, 0.05%)ciTypeFlow::df_flow_types (159 samples, 0.05%)ciTypeFlow::flow_block (159 samples, 0.05%)InlineTree::ok_to_inline (164 samples, 0.05%)ciMethod::get_flow_analysis (160 samples, 0.05%)ciTypeFlow::do_flow (160 samples, 0.05%)ciTypeFlow::flow_types (160 samples, 0.05%)Compile::call_generator (218 samples, 0.07%)ciTypeFlow::StateVector::do_invoke (45 samples, 0.01%)ciBytecodeStream::get_method (45 samples, 0.01%)ciEnv::get_method_by_index_impl (41 samples, 0.01%)ciTypeFlow::flow_block (103 samples, 0.03%)ciTypeFlow::StateVector::apply_one_bytecode (87 samples, 0.03%)ciTypeFlow::df_flow_types (115 samples, 0.04%)ciTypeFlow::do_flow (121 samples, 0.04%)ciTypeFlow::flow_types (121 samples, 0.04%)InlineTree::ok_to_inline (159 samples, 0.05%)ciMethod::get_flow_analysis (132 samples, 0.04%)Compile::call_generator (189 samples, 0.06%)ciTypeFlow::df_flow_types (53 samples, 0.02%)ciTypeFlow::flow_block (47 samples, 0.02%)ciTypeFlow::StateVector::apply_one_bytecode (38 samples, 0.01%)ciTypeFlow::do_flow (58 samples, 0.02%)ciTypeFlow::flow_types (58 samples, 0.02%)InlineTree::ok_to_inline (89 samples, 0.03%)ciMethod::get_flow_analysis (64 samples, 0.02%)Compile::call_generator (117 samples, 0.04%)Compile::call_generator (32 samples, 0.01%)Parse::do_one_block (72 samples, 0.02%)Parse::do_one_bytecode (66 samples, 0.02%)Parse::do_all_blocks (81 samples, 0.03%)ParseGenerator::generate (122 samples, 0.04%)Parse::Parse (121 samples, 0.04%)Parse::do_call (249 samples, 0.08%)GraphKit::access_store_at (43 samples, 0.01%)BarrierSetC2::store_at (43 samples, 0.01%)ModRefBarrierSetC2::store_at_resolved (42 samples, 0.01%)Parse::do_put_xxx (47 samples, 0.02%)Parse::do_field_access (91 samples, 0.03%)Parse::do_one_block (482 samples, 0.16%)Parse::do_one_bytecode (470 samples, 0.15%)Parse::do_all_blocks (497 samples, 0.16%)ParseGenerator::generate (573 samples, 0.19%)Parse::Parse (572 samples, 0.19%)Parse::do_one_block (62 samples, 0.02%)Parse::do_one_bytecode (57 samples, 0.02%)Parse::do_all_blocks (64 samples, 0.02%)ParseGenerator::generate (70 samples, 0.02%)Parse::Parse (70 samples, 0.02%)PredictedCallGenerator::generate (112 samples, 0.04%)Parse::do_call (926 samples, 0.30%)Parse::do_get_xxx (59 samples, 0.02%)G1BarrierSetC2::post_barrier (38 samples, 0.01%)GraphKit::access_store_at (66 samples, 0.02%)BarrierSetC2::store_at (66 samples, 0.02%)ModRefBarrierSetC2::store_at_resolved (65 samples, 0.02%)Parse::do_put_xxx (70 samples, 0.02%)Parse::do_field_access (159 samples, 0.05%)Parse::do_if (35 samples, 0.01%)Parse::do_one_block (1,280 samples, 0.42%)Parse::do_one_bytecode (1,258 samples, 0.41%)Parse::do_all_blocks (1,299 samples, 0.43%)ParseGenerator::generate (1,398 samples, 0.46%)Parse::Parse (1,397 samples, 0.46%)Parse::do_one_block (36 samples, 0.01%)Parse::do_one_bytecode (36 samples, 0.01%)Parse::do_all_blocks (39 samples, 0.01%)ParseGenerator::generate (45 samples, 0.01%)Parse::Parse (45 samples, 0.01%)Parse::do_call (82 samples, 0.03%)Parse::do_one_block (111 samples, 0.04%)Parse::do_one_bytecode (107 samples, 0.04%)Parse::do_all_blocks (114 samples, 0.04%)ParseGenerator::generate (120 samples, 0.04%)Parse::Parse (120 samples, 0.04%)PredictedCallGenerator::generate (158 samples, 0.05%)Parse::do_call (1,856 samples, 0.61%)G1BarrierSetC2::load_at_resolved (37 samples, 0.01%)BarrierSetC2::load_at_resolved (36 samples, 0.01%)GraphKit::make_load (32 samples, 0.01%)GraphKit::access_load_at (38 samples, 0.01%)BarrierSetC2::load_at (38 samples, 0.01%)Parse::do_get_xxx (67 samples, 0.02%)G1BarrierSetC2::post_barrier (32 samples, 0.01%)GraphKit::access_store_at (82 samples, 0.03%)BarrierSetC2::store_at (81 samples, 0.03%)ModRefBarrierSetC2::store_at_resolved (78 samples, 0.03%)G1BarrierSetC2::pre_barrier (36 samples, 0.01%)Parse::do_put_xxx (88 samples, 0.03%)Parse::do_field_access (164 samples, 0.05%)Parse::do_all_blocks (2,138 samples, 0.70%)Parse::do_one_block (2,138 samples, 0.70%)Parse::do_one_bytecode (2,132 samples, 0.70%)ParseGenerator::generate (2,153 samples, 0.71%)Parse::Parse (2,153 samples, 0.71%)Parse::do_call (35 samples, 0.01%)Parse::do_all_blocks (67 samples, 0.02%)Parse::do_one_block (67 samples, 0.02%)Parse::do_one_bytecode (66 samples, 0.02%)ParseGenerator::generate (77 samples, 0.03%)Parse::Parse (77 samples, 0.03%)Parse::do_call (111 samples, 0.04%)Parse::do_all_blocks (180 samples, 0.06%)Parse::do_one_block (179 samples, 0.06%)Parse::do_one_bytecode (177 samples, 0.06%)ParseGenerator::generate (201 samples, 0.07%)Parse::Parse (201 samples, 0.07%)Parse::do_call (260 samples, 0.09%)Parse::do_one_block (325 samples, 0.11%)Parse::do_one_bytecode (323 samples, 0.11%)ParseGenerator::generate (332 samples, 0.11%)Parse::Parse (332 samples, 0.11%)Parse::do_all_blocks (327 samples, 0.11%)Parse::do_call (49 samples, 0.02%)Parse::do_all_blocks (61 samples, 0.02%)Parse::do_one_block (61 samples, 0.02%)Parse::do_one_bytecode (61 samples, 0.02%)ParseGenerator::generate (67 samples, 0.02%)Parse::Parse (67 samples, 0.02%)PredictedCallGenerator::generate (71 samples, 0.02%)PredictedCallGenerator::generate (408 samples, 0.13%)Parse::do_call (2,794 samples, 0.92%)C2Compiler::compile_method (2,870 samples, 0.94%)Compile::Compile (2,870 samples, 0.94%)ParseGenerator::generate (2,810 samples, 0.92%)Parse::Parse (2,810 samples, 0.92%)Parse::do_all_blocks (2,810 samples, 0.92%)Parse::do_one_block (2,810 samples, 0.92%)Parse::do_one_bytecode (2,810 samples, 0.92%)MachSpillCopyNode::implementation (56 samples, 0.02%)Compile::Output (73 samples, 0.02%)Compile::init_buffer (73 samples, 0.02%)Compile::shorten_branches (62 samples, 0.02%)Compile::scratch_emit_size (62 samples, 0.02%)MachNode::adr_type (41 samples, 0.01%)PhaseCFG::schedule_late (64 samples, 0.02%)PhaseCFG::insert_anti_dependences (64 samples, 0.02%)PhaseCFG::sched_call (244 samples, 0.08%)PhaseCFG::schedule_local (249 samples, 0.08%)PhaseCFG::do_global_code_motion (319 samples, 0.10%)PhaseCFG::global_code_motion (319 samples, 0.10%)Node::add_req (37 samples, 0.01%)PhaseChaitin::get_spillcopy_wide (54 samples, 0.02%)PhaseChaitin::Split (72 samples, 0.02%)PhaseChaitin::split_USE (61 samples, 0.02%)Compile::Code_Gen (512 samples, 0.17%)PhaseChaitin::Register_Allocate (93 samples, 0.03%)OopFlow::build_oop_map (147 samples, 0.05%)OopFlow::compute_reach (268 samples, 0.09%)__memmove_sse2_unaligned_erms (113 samples, 0.04%)Compile::BuildOopMaps (1,081 samples, 0.36%)Compile::init_scratch_buffer_blob (38 samples, 0.01%)BufferBlob::create (38 samples, 0.01%)Compile::scratch_emit_size (225 samples, 0.07%)Compile::shorten_branches (471 samples, 0.15%)Compile::init_buffer (530 samples, 0.17%)Compile::Output (1,629 samples, 0.54%)Compile::FillExceptionTables (34 samples, 0.01%)Compile::FillLocArray (82 samples, 0.03%)DebugInformationRecorder::find_sharable_decode_offset (55 samples, 0.02%)DebugInformationRecorder::create_scope_values (90 samples, 0.03%)DebugInformationRecorder::find_sharable_decode_offset (54 samples, 0.02%)DebugInformationRecorder::describe_scope (86 samples, 0.03%)Compile::Process_OopMap_Node (353 samples, 0.12%)Compile::valid_bundle_info (76 samples, 0.02%)MachSpillCopyNode::implementation (45 samples, 0.01%)Compile::fill_buffer (823 samples, 0.27%)Matcher::init_first_stack_mask (45 samples, 0.01%)Matcher::Fixup_Save_On_Entry (54 samples, 0.02%)Matcher::is_bmi_pattern (38 samples, 0.01%)Matcher::find_shared (512 samples, 0.17%)Arena::contains (806 samples, 0.27%)Matcher::collect_null_checks (43 samples, 0.01%)Matcher::ReduceInst (39 samples, 0.01%)Matcher::match_tree (171 samples, 0.06%)Node::add_req (52 samples, 0.02%)Matcher::match_sfpt (220 samples, 0.07%)State::DFA (34 samples, 0.01%)Matcher::Label_Root (77 samples, 0.03%)State::DFA (65 samples, 0.02%)Matcher::Label_Root (173 samples, 0.06%)State::_sub_Op_AddP (56 samples, 0.02%)State::DFA (105 samples, 0.03%)Matcher::Label_Root (398 samples, 0.13%)State::DFA (54 samples, 0.02%)Matcher::Label_Root (605 samples, 0.20%)Matcher::ReduceInst_Interior (64 samples, 0.02%)Matcher::ReduceInst (115 samples, 0.04%)Matcher::ReduceOper (35 samples, 0.01%)Matcher::ReduceInst_Interior (232 samples, 0.08%)State::MachOperGenerator (38 samples, 0.01%)State::MachNodeGenerator (79 samples, 0.03%)Matcher::ReduceInst (417 samples, 0.14%)Matcher::match_tree (1,342 samples, 0.44%)Node::clone (107 samples, 0.04%)Node::out_grow (52 samples, 0.02%)Matcher::xform (3,131 samples, 1.03%)Matcher::match (3,766 samples, 1.24%)PhaseBlockLayout::find_edges (88 samples, 0.03%)__GI___qsort_r (47 samples, 0.02%)msort_with_tmp (46 samples, 0.02%)msort_with_tmp (46 samples, 0.02%)msort_with_tmp (38 samples, 0.01%)msort_with_tmp (38 samples, 0.01%)PhaseBlockLayout::grow_traces (69 samples, 0.02%)PhaseBlockLayout::PhaseBlockLayout (204 samples, 0.07%)PhaseCFG::PhaseCFG (196 samples, 0.06%)PhaseCFG::build_cfg (184 samples, 0.06%)PhaseCFG::do_DFS (45 samples, 0.01%)PhaseCFG::build_dominator_tree (117 samples, 0.04%)CFGLoop::compute_freq (43 samples, 0.01%)PhaseCFG::estimate_block_frequency (88 samples, 0.03%)PhaseCFG::implicit_null_check (69 samples, 0.02%)PhaseCFG::replace_block_proj_ctrl (64 samples, 0.02%)Node_Backward_Iterator::next (375 samples, 0.12%)PhaseCFG::hoist_to_cheaper_block (185 samples, 0.06%)MachNode::adr_type (43 samples, 0.01%)PhaseCFG::insert_anti_dependences (204 samples, 0.07%)Node_Array::insert (48 samples, 0.02%)PhaseCFG::schedule_node_into_block (98 samples, 0.03%)PhaseCFG::schedule_late (1,026 samples, 0.34%)PhaseCFG::adjust_register_pressure (77 samples, 0.03%)PhaseCFG::needed_for_next_call (39 samples, 0.01%)PhaseCFG::select (134 samples, 0.04%)PhaseChaitin::compute_entry_block_pressure (84 samples, 0.03%)PhaseChaitin::raise_pressure (36 samples, 0.01%)PhaseChaitin::compute_exit_block_pressure (62 samples, 0.02%)PhaseCFG::schedule_local (795 samples, 0.26%)PhaseCFG::schedule_node_into_block (32 samples, 0.01%)PhaseChaitin::PhaseChaitin (32 samples, 0.01%)RegMask::Size (142 samples, 0.05%)RegMask::clear_to_sets (34 samples, 0.01%)PhaseChaitin::gather_lrg_masks (588 samples, 0.19%)PhaseChaitin::mark_ssa (135 samples, 0.04%)IndexSet::initialize (148 samples, 0.05%)PhaseIFG::init (253 samples, 0.08%)[libc.so.6] (44 samples, 0.01%)IndexSet::initialize (78 samples, 0.03%)IndexSet::alloc_block_containing (41 samples, 0.01%)IndexSetIterator::advance_and_next (122 samples, 0.04%)PhaseLive::add_livein (266 samples, 0.09%)IndexSet::alloc_block_containing (97 samples, 0.03%)IndexSetIterator::advance_and_next (173 samples, 0.06%)PhaseLive::add_liveout (565 samples, 0.19%)PhaseLive::compute (1,274 samples, 0.42%)PhaseCFG::global_code_motion (4,797 samples, 1.58%)PhaseCFG::do_global_code_motion (5,005 samples, 1.65%)PhaseCFG::fixup_flow (35 samples, 0.01%)PhaseCFG::remove_empty_blocks (101 samples, 0.03%)PhaseAggressiveCoalesce::insert_copies (1,703 samples, 0.56%)IndexSetIterator::advance_and_next (527 samples, 0.17%)RegMask::find_first_set (62 samples, 0.02%)PhaseChaitin::bias_color (227 samples, 0.07%)IndexSet::alloc_block_containing (91 samples, 0.03%)IndexSetIterator::IndexSetIterator (110 samples, 0.04%)IndexSetIterator::advance_and_next (586 samples, 0.19%)PhaseIFG::re_insert (1,392 samples, 0.46%)RegMask::clear_to_sets (272 samples, 0.09%)PhaseChaitin::Select (3,437 samples, 1.13%)IndexSetIterator::advance_and_next (584 samples, 0.19%)IndexSetIterator::IndexSetIterator (91 samples, 0.03%)IndexSetIterator::advance_and_next (723 samples, 0.24%)PhaseIFG::remove_node (1,585 samples, 0.52%)PhaseChaitin::Simplify (2,588 samples, 0.85%)CProjNode::is_block_proj (44 samples, 0.01%)MachNode::ideal_reg (43 samples, 0.01%)MachNode::rematerialize (248 samples, 0.08%)Node::rematerialize (237 samples, 0.08%)Node::replace_by (31 samples, 0.01%)PhaseChaitin::get_spillcopy_wide (40 samples, 0.01%)PhaseChaitin::split_DEF (56 samples, 0.02%)PhaseChaitin::split_Rematerialize (64 samples, 0.02%)RegMask::is_aligned_pairs (54 samples, 0.02%)PhaseChaitin::get_spillcopy_wide (127 samples, 0.04%)PhaseChaitin::insert_proj (39 samples, 0.01%)PhaseChaitin::split_USE (257 samples, 0.08%)RegMask::Size (41 samples, 0.01%)asm_sysvec_apic_timer_interrupt (40 samples, 0.01%)sysvec_apic_timer_interrupt (40 samples, 0.01%)PhaseChaitin::Split (5,175 samples, 1.70%)IndexSet::IndexSet (368 samples, 0.12%)MachNode::rematerialize (97 samples, 0.03%)IndexSet::alloc_block_containing (48 samples, 0.02%)JVMState::debug_start (51 samples, 0.02%)MachNode::rematerialize (144 samples, 0.05%)PhaseChaitin::raise_pressure (181 samples, 0.06%)RegMask::is_UP (78 samples, 0.03%)PhaseChaitin::add_input_to_liveout (1,094 samples, 0.36%)PhaseChaitin::adjust_high_pressure_index (34 samples, 0.01%)PhaseChaitin::check_for_high_pressure_transition_at_fatproj (60 samples, 0.02%)RegMask::Size (44 samples, 0.01%)IndexSetIterator::advance_and_next (240 samples, 0.08%)RegMask::is_UP (99 samples, 0.03%)PhaseChaitin::compute_initial_block_pressure (719 samples, 0.24%)__tls_get_addr (48 samples, 0.02%)IndexSet::alloc_block_containing (160 samples, 0.05%)IndexSetIterator::advance_and_next (1,347 samples, 0.44%)PhaseChaitin::interfere_with_live (4,446 samples, 1.46%)PhaseChaitin::lower_pressure (151 samples, 0.05%)RegMask::is_UP (52 samples, 0.02%)IndexSetIterator::advance_and_next (269 samples, 0.09%)RegMask::Size (428 samples, 0.14%)RegMask::smear_to_sets (996 samples, 0.33%)PhaseChaitin::remove_bound_register_from_interfering_live_ranges (2,369 samples, 0.78%)PhaseChaitin::remove_node_if_not_used (49 samples, 0.02%)PhaseChaitin::build_ifg_physical (10,771 samples, 3.54%)Phas..IndexSetIterator::advance_and_next (99 samples, 0.03%)PhaseChaitin::interfere_with_live (500 samples, 0.16%)PhaseChaitin::build_ifg_virtual (706 samples, 0.23%)PhaseChaitin::cache_lrg_info (158 samples, 0.05%)find_hihghest_bit (51 samples, 0.02%)PhaseChaitin::compact (50 samples, 0.02%)PhaseChaitin::de_ssa (140 samples, 0.05%)PhaseChaitin::fixup_spills (97 samples, 0.03%)__tls_get_addr (35 samples, 0.01%)MachCallJavaNode::in_RegMask (117 samples, 0.04%)MachNode::ideal_reg (90 samples, 0.03%)MachNode::in_RegMask (86 samples, 0.03%)MachProjNode::bottom_type (35 samples, 0.01%)RegMask::Size (1,719 samples, 0.57%)RegMask::clear_to_sets (337 samples, 0.11%)RegMask::is_aligned_pairs (146 samples, 0.05%)RegMask::is_bound1 (173 samples, 0.06%)RegMask::is_bound_pair (139 samples, 0.05%)RegMask::is_vector (43 samples, 0.01%)Dict::Insert (49 samples, 0.02%)Type::hashcons (55 samples, 0.02%)PhaseChaitin::gather_lrg_masks (5,507 samples, 1.81%)P..PhaseChaitin::merge_multidefs (703 samples, 0.23%)Node::replace_by (53 samples, 0.02%)Node_Array::grow (31 samples, 0.01%)RegMask::Size (53 samples, 0.02%)PhaseChaitin::use_prior_register (161 samples, 0.05%)PhaseChaitin::yank_if_dead_recurse (72 samples, 0.02%)PhaseChaitin::yank_if_dead_recurse (32 samples, 0.01%)PhaseChaitin::elide_copy (3,578 samples, 1.18%)PhaseChaitin::yank (34 samples, 0.01%)PhaseChaitin::yank_if_dead_recurse (59 samples, 0.02%)[libc.so.6] (70 samples, 0.02%)find_lowest_bit (473 samples, 0.16%)PhaseChaitin::post_allocate_copy_removal (6,800 samples, 2.24%)P..IndexSet::IndexSet (47 samples, 0.02%)PhaseChaitin::stretch_base_pointer_live_ranges (288 samples, 0.09%)PhaseIFG::Union (63 samples, 0.02%)PhaseCoalesce::combine_these_two (98 samples, 0.03%)PhaseAggressiveCoalesce::coalesce (235 samples, 0.08%)Block::has_uncommon_code (48 samples, 0.02%)PhaseCFG::is_uncommon (171 samples, 0.06%)IndexSetIterator::advance_and_next (79 samples, 0.03%)IndexSet::lrg_union (763 samples, 0.25%)IndexSetIterator::advance_and_next (60 samples, 0.02%)PhaseConservativeCoalesce::update_ifg (1,180 samples, 0.39%)PhaseIFG::effective_degree (297 samples, 0.10%)PhaseConservativeCoalesce::copy_copy (2,376 samples, 0.78%)RegMask::Size (31 samples, 0.01%)PhaseCoalesce::coalesce_driver (2,869 samples, 0.94%)PhaseConservativeCoalesce::coalesce (2,626 samples, 0.86%)IndexSetIterator::IndexSetIterator (93 samples, 0.03%)IndexSetIterator::advance_and_next (831 samples, 0.27%)PhaseIFG::Compute_Effective_Degree (1,544 samples, 0.51%)IndexSet::alloc_block_containing (78 samples, 0.03%)IndexSetIterator::IndexSetIterator (117 samples, 0.04%)IndexSetIterator::advance_and_next (634 samples, 0.21%)PhaseIFG::SquareUp (1,420 samples, 0.47%)IndexSet::initialize (301 samples, 0.10%)[libc.so.6] (98 samples, 0.03%)PhaseIFG::init (551 samples, 0.18%)IndexSet::alloc_block_containing (87 samples, 0.03%)IndexSet::free_block (57 samples, 0.02%)IndexSet::initialize (142 samples, 0.05%)__tls_get_addr (70 samples, 0.02%)update_get_addr (38 samples, 0.01%)IndexSet::alloc_block_containing (275 samples, 0.09%)IndexSet::initialize (60 samples, 0.02%)IndexSetIterator::advance_and_next (456 samples, 0.15%)PhaseLive::add_liveout (1,868 samples, 0.61%)PhaseLive::compute (3,838 samples, 1.26%)find_lowest_bit (62 samples, 0.02%)PhaseChaitin::Register_Allocate (48,670 samples, 16.01%)PhaseChaitin::Register_Al..PhasePeephole::do_transform (72 samples, 0.02%)Compile::Code_Gen (60,549 samples, 19.92%)Compile::Code_GenCompile::call_generator (37 samples, 0.01%)ciTypeFlow::df_flow_types (36 samples, 0.01%)ciTypeFlow::flow_block (36 samples, 0.01%)ciTypeFlow::StateVector::apply_one_bytecode (32 samples, 0.01%)InlineTree::ok_to_inline (42 samples, 0.01%)ciMethod::get_flow_analysis (38 samples, 0.01%)ciTypeFlow::do_flow (38 samples, 0.01%)ciTypeFlow::flow_types (38 samples, 0.01%)Compile::call_generator (53 samples, 0.02%)InlineTree::ok_to_inline (39 samples, 0.01%)Compile::call_generator (54 samples, 0.02%)Parse::do_one_block (42 samples, 0.01%)Parse::do_one_bytecode (41 samples, 0.01%)Parse::do_all_blocks (47 samples, 0.02%)ParseGenerator::generate (72 samples, 0.02%)Parse::Parse (72 samples, 0.02%)Parse::do_call (127 samples, 0.04%)Parse::do_one_block (195 samples, 0.06%)Parse::do_one_bytecode (191 samples, 0.06%)Parse::do_all_blocks (197 samples, 0.06%)ParseGenerator::generate (222 samples, 0.07%)Parse::Parse (220 samples, 0.07%)Parse::do_call (318 samples, 0.10%)Parse::do_field_access (41 samples, 0.01%)Parse::do_one_block (422 samples, 0.14%)Parse::do_one_bytecode (417 samples, 0.14%)Parse::do_all_blocks (427 samples, 0.14%)ParseGenerator::generate (470 samples, 0.15%)Parse::Parse (470 samples, 0.15%)Parse::do_all_blocks (32 samples, 0.01%)Parse::do_one_block (32 samples, 0.01%)Parse::do_one_bytecode (32 samples, 0.01%)ParseGenerator::generate (34 samples, 0.01%)Parse::Parse (34 samples, 0.01%)Parse::do_call (45 samples, 0.01%)Parse::do_one_block (50 samples, 0.02%)Parse::do_one_bytecode (50 samples, 0.02%)ParseGenerator::generate (51 samples, 0.02%)Parse::Parse (51 samples, 0.02%)Parse::do_all_blocks (51 samples, 0.02%)PredictedCallGenerator::generate (66 samples, 0.02%)Parse::do_call (640 samples, 0.21%)GraphKit::access_store_at (37 samples, 0.01%)BarrierSetC2::store_at (37 samples, 0.01%)ModRefBarrierSetC2::store_at_resolved (34 samples, 0.01%)Parse::do_field_access (59 samples, 0.02%)Parse::do_put_xxx (40 samples, 0.01%)Parse::do_one_block (741 samples, 0.24%)Parse::do_one_bytecode (740 samples, 0.24%)Parse::do_all_blocks (744 samples, 0.24%)ParseGenerator::generate (748 samples, 0.25%)Parse::Parse (748 samples, 0.25%)Parse::do_all_blocks (42 samples, 0.01%)Parse::do_one_block (41 samples, 0.01%)Parse::do_one_bytecode (40 samples, 0.01%)ParseGenerator::generate (44 samples, 0.01%)Parse::Parse (44 samples, 0.01%)PredictedCallGenerator::generate (56 samples, 0.02%)Parse::do_call (867 samples, 0.29%)Parse::do_all_blocks (885 samples, 0.29%)Parse::do_one_block (885 samples, 0.29%)Parse::do_one_bytecode (885 samples, 0.29%)ParseGenerator::generate (889 samples, 0.29%)Parse::Parse (889 samples, 0.29%)Parse::do_call (38 samples, 0.01%)Parse::do_all_blocks (69 samples, 0.02%)Parse::do_one_block (69 samples, 0.02%)Parse::do_one_bytecode (65 samples, 0.02%)ParseGenerator::generate (76 samples, 0.02%)Parse::Parse (76 samples, 0.02%)Parse::do_call (111 samples, 0.04%)Parse::do_all_blocks (141 samples, 0.05%)Parse::do_one_block (141 samples, 0.05%)Parse::do_one_bytecode (141 samples, 0.05%)ParseGenerator::generate (145 samples, 0.05%)Parse::Parse (145 samples, 0.05%)Parse::do_call (176 samples, 0.06%)ParseGenerator::generate (179 samples, 0.06%)Parse::Parse (179 samples, 0.06%)Parse::do_all_blocks (177 samples, 0.06%)Parse::do_one_block (177 samples, 0.06%)Parse::do_one_bytecode (177 samples, 0.06%)Parse::do_call (1,110 samples, 0.37%)PredictedCallGenerator::generate (184 samples, 0.06%)ParseGenerator::generate (1,112 samples, 0.37%)Parse::Parse (1,112 samples, 0.37%)Parse::do_all_blocks (1,112 samples, 0.37%)Parse::do_one_block (1,112 samples, 0.37%)Parse::do_one_bytecode (1,112 samples, 0.37%)Parse::do_call (35 samples, 0.01%)ParseGenerator::generate (49 samples, 0.02%)Parse::Parse (49 samples, 0.02%)Parse::do_all_blocks (49 samples, 0.02%)Parse::do_one_block (49 samples, 0.02%)Parse::do_one_bytecode (49 samples, 0.02%)Parse::do_call (79 samples, 0.03%)ParseGenerator::generate (85 samples, 0.03%)Parse::Parse (85 samples, 0.03%)Parse::do_all_blocks (85 samples, 0.03%)Parse::do_one_block (85 samples, 0.03%)Parse::do_one_bytecode (85 samples, 0.03%)ParseGenerator::generate (116 samples, 0.04%)Parse::Parse (116 samples, 0.04%)Parse::do_all_blocks (116 samples, 0.04%)Parse::do_one_block (116 samples, 0.04%)Parse::do_one_bytecode (116 samples, 0.04%)Parse::do_call (116 samples, 0.04%)PredictedCallGenerator::generate (138 samples, 0.05%)ParseGenerator::generate (1,255 samples, 0.41%)Parse::Parse (1,255 samples, 0.41%)Parse::do_all_blocks (1,255 samples, 0.41%)Parse::do_one_block (1,255 samples, 0.41%)Parse::do_one_bytecode (1,255 samples, 0.41%)Parse::do_call (1,255 samples, 0.41%)Parse::do_call (31 samples, 0.01%)Parse::do_one_block (55 samples, 0.02%)Parse::do_one_bytecode (54 samples, 0.02%)Parse::do_all_blocks (56 samples, 0.02%)ParseGenerator::generate (62 samples, 0.02%)Parse::Parse (62 samples, 0.02%)Parse::do_call (92 samples, 0.03%)Parse::do_all_blocks (119 samples, 0.04%)Parse::do_one_block (119 samples, 0.04%)Parse::do_one_bytecode (117 samples, 0.04%)ParseGenerator::generate (123 samples, 0.04%)Parse::Parse (123 samples, 0.04%)Parse::do_call (157 samples, 0.05%)ParseGenerator::generate (165 samples, 0.05%)Parse::Parse (165 samples, 0.05%)Parse::do_all_blocks (165 samples, 0.05%)Parse::do_one_block (165 samples, 0.05%)Parse::do_one_bytecode (165 samples, 0.05%)Parse::do_call (174 samples, 0.06%)ParseGenerator::generate (176 samples, 0.06%)Parse::Parse (176 samples, 0.06%)Parse::do_all_blocks (176 samples, 0.06%)Parse::do_one_block (176 samples, 0.06%)Parse::do_one_bytecode (176 samples, 0.06%)Parse::do_all_blocks (192 samples, 0.06%)Parse::do_one_block (192 samples, 0.06%)Parse::do_one_bytecode (192 samples, 0.06%)Parse::do_call (192 samples, 0.06%)ParseGenerator::generate (193 samples, 0.06%)Parse::Parse (193 samples, 0.06%)Parse::do_all_blocks (1,498 samples, 0.49%)Parse::do_one_block (1,498 samples, 0.49%)Parse::do_one_bytecode (1,498 samples, 0.49%)Parse::do_call (1,498 samples, 0.49%)PredictedCallGenerator::generate (243 samples, 0.08%)PredictedCallGenerator::generate (50 samples, 0.02%)ParseGenerator::generate (50 samples, 0.02%)Parse::Parse (50 samples, 0.02%)Parse::do_all_blocks (50 samples, 0.02%)Parse::do_one_block (50 samples, 0.02%)Parse::do_one_bytecode (50 samples, 0.02%)Parse::do_call (50 samples, 0.02%)ParseGenerator::generate (1,506 samples, 0.50%)Parse::Parse (1,506 samples, 0.50%)Compile::Compile (62,077 samples, 20.42%)Compile::CompileCompile::final_graph_reshaping_impl (144 samples, 0.05%)Compile::final_graph_reshaping_walk (389 samples, 0.13%)Compile::final_graph_reshaping (401 samples, 0.13%)Compile::identify_useful_nodes (43 samples, 0.01%)Compile::inline_incrementally_one (103 samples, 0.03%)PhaseRemoveUseless::PhaseRemoveUseless (88 samples, 0.03%)Compile::inline_incrementally (114 samples, 0.04%)PhaseIterGVN::transform_old (69 samples, 0.02%)PhaseIterGVN::optimize (75 samples, 0.02%)PhaseIterGVN::remove_speculative_types (58 samples, 0.02%)Compile::remove_speculative_types (333 samples, 0.11%)ConnectionGraph::add_call_node (33 samples, 0.01%)ciMethod::get_bcea (32 samples, 0.01%)BCEscapeAnalyzer::BCEscapeAnalyzer (32 samples, 0.01%)BCEscapeAnalyzer::compute_escape_info (36 samples, 0.01%)BCEscapeAnalyzer::iterate_blocks (35 samples, 0.01%)BCEscapeAnalyzer::iterate_one_block (35 samples, 0.01%)BCEscapeAnalyzer::BCEscapeAnalyzer (42 samples, 0.01%)ConnectionGraph::process_call_arguments (45 samples, 0.01%)ciMethod::get_bcea (43 samples, 0.01%)ConnectionGraph::add_final_edges (56 samples, 0.02%)ConnectionGraph::is_oop_field (32 samples, 0.01%)ConnectionGraph::add_field (40 samples, 0.01%)ConnectionGraph::add_node_to_connection_graph (130 samples, 0.04%)ConnectionGraph::add_field_uses_to_worklist (41 samples, 0.01%)ConnectionGraph::add_java_object_edges (33 samples, 0.01%)ConnectionGraph::find_non_escaped_objects (32 samples, 0.01%)ConnectionGraph::complete_connection_graph (156 samples, 0.05%)ConnectionGraph::find_inst_mem (31 samples, 0.01%)ConnectionGraph::split_memory_phi (35 samples, 0.01%)ConnectionGraph::split_memory_phi (64 samples, 0.02%)ConnectionGraph::find_inst_mem (57 samples, 0.02%)ConnectionGraph::find_inst_mem (74 samples, 0.02%)ConnectionGraph::split_memory_phi (100 samples, 0.03%)ConnectionGraph::find_inst_mem (150 samples, 0.05%)ConnectionGraph::split_unique_types (205 samples, 0.07%)ConnectionGraph::compute_escape (759 samples, 0.25%)ConnectionGraph::do_analysis (764 samples, 0.25%)LoadNode::Value (37 samples, 0.01%)PhiNode::Value (62 samples, 0.02%)Dict::Insert (33 samples, 0.01%)Type::hashcons (38 samples, 0.01%)TypeInstPtr::add_offset (70 samples, 0.02%)PhaseCCP::analyze (980 samples, 0.32%)PhaseCCP::transform_once (144 samples, 0.05%)PhaseCCP::do_transform (303 samples, 0.10%)PhaseCCP::transform (302 samples, 0.10%)IdealLoopTree::counted_loop (32 samples, 0.01%)IdealLoopTree::counted_loop (42 samples, 0.01%)IdealLoopTree::counted_loop (63 samples, 0.02%)IdealLoopTree::counted_loop (63 samples, 0.02%)IdealLoopTree::iteration_split (39 samples, 0.01%)IdealLoopTree::iteration_split (48 samples, 0.02%)IdealLoopTree::iteration_split (58 samples, 0.02%)IdealLoopTree::iteration_split (67 samples, 0.02%)IdealLoopTree::iteration_split (77 samples, 0.03%)IdealLoopTree::iteration_split (92 samples, 0.03%)IdealLoopTree::iteration_split (111 samples, 0.04%)IdealLoopTree::iteration_split (144 samples, 0.05%)IdealLoopTree::iteration_split_impl (41 samples, 0.01%)IdealLoopTree::iteration_split (192 samples, 0.06%)IdealLoopTree::iteration_split (226 samples, 0.07%)IdealLoopTree::iteration_split (259 samples, 0.09%)IdealLoopTree::loop_predication (70 samples, 0.02%)PhaseIdealLoop::loop_predication_impl (53 samples, 0.02%)PhaseIdealLoop::loop_predication_follow_branches (44 samples, 0.01%)IdealLoopTree::loop_predication (159 samples, 0.05%)PhaseIdealLoop::loop_predication_impl (89 samples, 0.03%)PathFrequency::to (45 samples, 0.01%)PathFrequency::to (42 samples, 0.01%)PhaseIdealLoop::is_dominator (37 samples, 0.01%)PhaseIdealLoop::loop_predication_follow_branches (109 samples, 0.04%)PhaseIdealLoop::loop_predication_impl_helper (51 samples, 0.02%)IdealLoopTree::loop_predication (401 samples, 0.13%)PhaseIdealLoop::loop_predication_impl (242 samples, 0.08%)NTarjan::DFS (486 samples, 0.16%)PhaseIdealLoop::Dominators (1,572 samples, 0.52%)PhaseIdealLoop::dom_depth (98 samples, 0.03%)PhaseIdealLoop::get_ctrl (235 samples, 0.08%)PhaseIdealLoop::set_early_ctrl (583 samples, 0.19%)PhaseIdealLoop::get_early_ctrl (519 samples, 0.17%)PhiNode::pinned (47 samples, 0.02%)PhaseIdealLoop::build_loop_early (2,399 samples, 0.79%)Node::unique_ctrl_out (120 samples, 0.04%)PhaseIdealLoop::get_ctrl (129 samples, 0.04%)PhaseIdealLoop::dom_depth (81 samples, 0.03%)PhaseIdealLoop::idom_no_update (209 samples, 0.07%)PhaseIdealLoop::dom_lca_for_get_late_ctrl_internal (391 samples, 0.13%)PhaseIdealLoop::get_ctrl (54 samples, 0.02%)PhaseIdealLoop::compute_lca_of_uses (583 samples, 0.19%)PhaseIdealLoop::dom_depth (67 samples, 0.02%)PhaseIdealLoop::dom_lca_for_get_late_ctrl_internal (250 samples, 0.08%)PhaseIdealLoop::get_ctrl (44 samples, 0.01%)PhaseIdealLoop::dom_depth (1,285 samples, 0.42%)PhaseIdealLoop::is_dominator (6,649 samples, 2.19%)P..PhaseIdealLoop::get_late_ctrl (8,508 samples, 2.80%)Ph..PhaseIdealLoop::get_loop (60 samples, 0.02%)ProjNode::is_uncommon_trap_if_pattern (44 samples, 0.01%)PhaseIdealLoop::build_loop_late_post (9,763 samples, 3.21%)Pha..PhaseIdealLoop::build_loop_late (11,589 samples, 3.81%)Phas..PhaseIdealLoop::build_loop_tree_impl (304 samples, 0.10%)PhaseIdealLoop::build_loop_tree (1,228 samples, 0.40%)PhaseIdealLoop::eliminate_useless_predicates (39 samples, 0.01%)PhaseIdealLoop::handle_use (48 samples, 0.02%)PhaseIdealLoop::spinup (32 samples, 0.01%)PhaseIdealLoop::do_split_if (142 samples, 0.05%)PhaseIdealLoop::get_ctrl (44 samples, 0.01%)PhaseIdealLoop::split_thru_phi (37 samples, 0.01%)PhaseIdealLoop::split_if_with_blocks_post (371 samples, 0.12%)ConstraintCastNode::dominating_cast (84 samples, 0.03%)PhaseIdealLoop::get_ctrl (72 samples, 0.02%)PhaseIdealLoop::has_local_phi_input (108 samples, 0.04%)PhaseIdealLoop::get_ctrl (94 samples, 0.03%)PhaseIdealLoop::remix_address_expressions (247 samples, 0.08%)PhaseIterGVN::remove_globally_dead_node (73 samples, 0.02%)PhaseIdealLoop::split_thru_phi (244 samples, 0.08%)PhaseIdealLoop::try_move_store_before_loop (32 samples, 0.01%)PhaseIdealLoop::split_if_with_blocks_pre (1,026 samples, 0.34%)PhaseIdealLoop::split_if_with_blocks (2,249 samples, 0.74%)CallNode::Ideal (62 samples, 0.02%)Node::remove_dead_region (61 samples, 0.02%)CastIINode::Value (36 samples, 0.01%)ConstraintCastNode::Identity (32 samples, 0.01%)IfNode::Ideal (34 samples, 0.01%)MemNode::Ideal_common (34 samples, 0.01%)Node::dominates (32 samples, 0.01%)MemNode::all_controls_dominate (34 samples, 0.01%)LoadNode::Ideal (107 samples, 0.04%)MemNode::find_previous_store (53 samples, 0.02%)NodeHash::grow (40 samples, 0.01%)NodeHash::hash_find_insert (152 samples, 0.05%)PhaseIterGVN::add_users_to_worklist (68 samples, 0.02%)PhaseIterGVN::remove_globally_dead_node (67 samples, 0.02%)PhaseIterGVN::subsume_node (128 samples, 0.04%)PhiNode::Ideal (80 samples, 0.03%)PhiNode::Value (45 samples, 0.01%)PhaseIterGVN::subsume_node (38 samples, 0.01%)RegionNode::Ideal (318 samples, 0.10%)RegionNode::is_unreachable_region (182 samples, 0.06%)InitializeNode::detect_init_independence (31 samples, 0.01%)InitializeNode::detect_init_independence (37 samples, 0.01%)InitializeNode::detect_init_independence (39 samples, 0.01%)InitializeNode::detect_init_independence (41 samples, 0.01%)InitializeNode::detect_init_independence (44 samples, 0.01%)InitializeNode::detect_init_independence (46 samples, 0.02%)InitializeNode::detect_init_independence (49 samples, 0.02%)InitializeNode::detect_init_independence (49 samples, 0.02%)InitializeNode::detect_init_independence (53 samples, 0.02%)InitializeNode::detect_init_independence (55 samples, 0.02%)InitializeNode::detect_init_independence (61 samples, 0.02%)InitializeNode::can_capture_store (70 samples, 0.02%)InitializeNode::detect_init_independence (70 samples, 0.02%)InitializeNode::detect_init_independence (70 samples, 0.02%)StoreNode::Ideal (95 samples, 0.03%)PhaseIterGVN::transform_old (1,680 samples, 0.55%)PhaseIterGVN::optimize (1,750 samples, 0.58%)SuperWord::are_adjacent_refs (31 samples, 0.01%)SuperWord::find_adjacent_refs (51 samples, 0.02%)SuperWord::SLP_extract (101 samples, 0.03%)SuperWord::transform_loop (104 samples, 0.03%)[libc.so.6] (46 samples, 0.02%)PhaseIdealLoop::build_and_optimize (21,926 samples, 7.21%)PhaseIdeal..PhaseIterGVN::add_users_to_worklist (100 samples, 0.03%)PhaseIterGVN::PhaseIterGVN (170 samples, 0.06%)CallNode::Ideal (44 samples, 0.01%)Node::remove_dead_region (39 samples, 0.01%)IfNode::fold_compares (34 samples, 0.01%)IfNode::search_identical (48 samples, 0.02%)Node::remove_dead_region (32 samples, 0.01%)Unique_Node_List::remove (106 samples, 0.03%)PhaseIterGVN::remove_globally_dead_node (122 samples, 0.04%)PhaseIterGVN::subsume_node (145 samples, 0.05%)PhaseIterGVN::remove_globally_dead_node (38 samples, 0.01%)Unique_Node_List::remove (31 samples, 0.01%)IfNode::Ideal (425 samples, 0.14%)split_if (82 samples, 0.03%)MemNode::adr_type (38 samples, 0.01%)MemNode::Ideal_common (96 samples, 0.03%)Node::dominates (61 samples, 0.02%)MemNode::all_controls_dominate (62 samples, 0.02%)MemNode::find_previous_store (104 samples, 0.03%)LoadNode::Ideal (257 samples, 0.08%)LoadNode::Identity (42 samples, 0.01%)MemNode::can_see_stored_value (31 samples, 0.01%)LoadNode::Value (47 samples, 0.02%)MergeMemNode::Ideal (101 samples, 0.03%)NodeHash::grow (92 samples, 0.03%)NodeHash::hash_find_insert (257 samples, 0.08%)PhaseIterGVN::add_users_to_worklist (85 samples, 0.03%)Node::replace_edge (35 samples, 0.01%)Unique_Node_List::remove (56 samples, 0.02%)PhaseIterGVN::remove_globally_dead_node (131 samples, 0.04%)PhaseIterGVN::subsume_node (319 samples, 0.10%)PhiNode::is_unsafe_data_reference (60 samples, 0.02%)PhiNode::unique_input (40 samples, 0.01%)PhiNode::Ideal (204 samples, 0.07%)PhiNode::Value (65 samples, 0.02%)PhaseIterGVN::remove_globally_dead_node (175 samples, 0.06%)Unique_Node_List::remove (161 samples, 0.05%)PhaseIterGVN::subsume_node (206 samples, 0.07%)PhiNode::is_unsafe_data_reference (59 samples, 0.02%)RegionNode::is_unreachable_region (134 samples, 0.04%)RegionNode::Ideal (507 samples, 0.17%)InitializeNode::detect_init_independence (49 samples, 0.02%)InitializeNode::detect_init_independence (80 samples, 0.03%)MemNode::all_controls_dominate (31 samples, 0.01%)Node::dominates (31 samples, 0.01%)InitializeNode::detect_init_independence (105 samples, 0.03%)InitializeNode::detect_init_independence (128 samples, 0.04%)InitializeNode::detect_init_independence (150 samples, 0.05%)InitializeNode::detect_init_independence (177 samples, 0.06%)InitializeNode::detect_init_independence (202 samples, 0.07%)InitializeNode::detect_init_independence (224 samples, 0.07%)MemNode::all_controls_dominate (32 samples, 0.01%)Node::dominates (31 samples, 0.01%)InitializeNode::detect_init_independence (257 samples, 0.08%)InitializeNode::detect_init_independence (293 samples, 0.10%)MemNode::all_controls_dominate (36 samples, 0.01%)Node::dominates (35 samples, 0.01%)InitializeNode::detect_init_independence (320 samples, 0.11%)InitializeNode::detect_init_independence (345 samples, 0.11%)InitializeNode::detect_init_independence (377 samples, 0.12%)MemNode::all_controls_dominate (32 samples, 0.01%)Node::dominates (32 samples, 0.01%)InitializeNode::detect_init_independence (400 samples, 0.13%)InitializeNode::detect_init_independence (424 samples, 0.14%)InitializeNode::detect_init_independence (452 samples, 0.15%)InitializeNode::detect_init_independence (475 samples, 0.16%)Node::dominates (48 samples, 0.02%)MemNode::all_controls_dominate (52 samples, 0.02%)InitializeNode::detect_init_independence (528 samples, 0.17%)Node::dominates (52 samples, 0.02%)InitializeNode::detect_init_independence (583 samples, 0.19%)MemNode::all_controls_dominate (55 samples, 0.02%)InitializeNode::can_capture_store (586 samples, 0.19%)InitializeNode::detect_init_independence (584 samples, 0.19%)StoreNode::Ideal (640 samples, 0.21%)MemNode::Ideal_common (45 samples, 0.01%)PhaseIterGVN::transform_old (3,679 samples, 1.21%)PhaseIterGVN::optimize (3,812 samples, 1.25%)PhaseMacroExpand::eliminate_allocate_node (56 samples, 0.02%)PhaseMacroExpand::eliminate_macro_nodes (57 samples, 0.02%)IfNode::Ideal (39 samples, 0.01%)PhaseIterGVN::transform_old (334 samples, 0.11%)PhaseIterGVN::optimize (349 samples, 0.11%)PhaseMacroExpand::expand_allocate_common (125 samples, 0.04%)PhaseMacroExpand::expand_macro_nodes (525 samples, 0.17%)Compile::identify_useful_nodes (104 samples, 0.03%)Compile::remove_useless_nodes (83 samples, 0.03%)PhaseRemoveUseless::PhaseRemoveUseless (231 samples, 0.08%)PhaseRenumberLive::PhaseRenumberLive (256 samples, 0.08%)Compile::Optimize (29,708 samples, 9.77%)Compile::Optim..Parse::do_call (46 samples, 0.02%)Parse::do_field_access (49 samples, 0.02%)Parse::do_one_block (203 samples, 0.07%)Parse::do_one_bytecode (175 samples, 0.06%)Parse::do_all_blocks (211 samples, 0.07%)ParseGenerator::generate (225 samples, 0.07%)Parse::Parse (225 samples, 0.07%)CompileBroker::compiler_thread_loop (260 samples, 0.09%)CompileBroker::invoke_compiler_on_method (260 samples, 0.09%)C2Compiler::compile_method (260 samples, 0.09%)Compile::Compile (260 samples, 0.09%)ciTypeFlow::StateVector::do_getstatic (32 samples, 0.01%)ciBytecodeStream::get_field (32 samples, 0.01%)ciMethod::ciMethod (43 samples, 0.01%)ciSignature::ciSignature (34 samples, 0.01%)ciTypeFlow::StateVector::do_invoke (57 samples, 0.02%)ciBytecodeStream::get_method (57 samples, 0.02%)ciEnv::get_method_by_index_impl (53 samples, 0.02%)ciObjectFactory::get_metadata (49 samples, 0.02%)ciObjectFactory::create_new_metadata (47 samples, 0.02%)ciTypeFlow::df_flow_types (95 samples, 0.03%)ciTypeFlow::flow_block (95 samples, 0.03%)ciTypeFlow::StateVector::apply_one_bytecode (95 samples, 0.03%)CallGenerator::for_inline (99 samples, 0.03%)InlineTree::check_can_parse (99 samples, 0.03%)ciMethod::get_flow_analysis (99 samples, 0.03%)ciTypeFlow::do_flow (99 samples, 0.03%)ciTypeFlow::flow_types (99 samples, 0.03%)InlineTree::ok_to_inline (65 samples, 0.02%)ciMethod::get_flow_analysis (31 samples, 0.01%)Compile::call_generator (84 samples, 0.03%)Parse::do_one_block (141 samples, 0.05%)Parse::do_one_bytecode (129 samples, 0.04%)Parse::do_all_blocks (159 samples, 0.05%)ParseGenerator::generate (275 samples, 0.09%)Parse::Parse (273 samples, 0.09%)PredictedCallGenerator::generate (87 samples, 0.03%)Parse::do_call (558 samples, 0.18%)GraphKit::access_load_at (31 samples, 0.01%)BarrierSetC2::load_at (31 samples, 0.01%)G1BarrierSetC2::load_at_resolved (31 samples, 0.01%)Parse::do_get_xxx (51 samples, 0.02%)Parse::do_field_access (88 samples, 0.03%)Parse::do_put_xxx (32 samples, 0.01%)Parse::do_if (31 samples, 0.01%)Parse::do_all_blocks (777 samples, 0.26%)Parse::do_one_block (776 samples, 0.26%)Parse::do_one_bytecode (767 samples, 0.25%)ParseGenerator::generate (788 samples, 0.26%)Parse::Parse (788 samples, 0.26%)CompileBroker::invoke_compiler_on_method (935 samples, 0.31%)C2Compiler::compile_method (935 samples, 0.31%)Compile::Compile (935 samples, 0.31%)ciEnv::register_method (31 samples, 0.01%)Parse::do_one_block (61 samples, 0.02%)Parse::do_one_bytecode (57 samples, 0.02%)Parse::do_all_blocks (70 samples, 0.02%)ParseGenerator::generate (85 samples, 0.03%)Parse::Parse (85 samples, 0.03%)JavaThread::thread_main_inner (108 samples, 0.04%)CompileBroker::compiler_thread_loop (108 samples, 0.04%)CompileBroker::invoke_compiler_on_method (108 samples, 0.04%)C2Compiler::compile_method (108 samples, 0.04%)Compile::Compile (108 samples, 0.04%)ParseGenerator::generate (37 samples, 0.01%)Parse::Parse (37 samples, 0.01%)Parse::do_all_blocks (37 samples, 0.01%)Parse::do_one_block (37 samples, 0.01%)Parse::do_one_bytecode (37 samples, 0.01%)Parse::do_call (37 samples, 0.01%)ParseGenerator::generate (43 samples, 0.01%)Parse::Parse (43 samples, 0.01%)Parse::do_all_blocks (43 samples, 0.01%)Parse::do_one_block (43 samples, 0.01%)Parse::do_one_bytecode (43 samples, 0.01%)Parse::do_call (43 samples, 0.01%)ParseGenerator::generate (49 samples, 0.02%)Parse::Parse (49 samples, 0.02%)Parse::do_all_blocks (49 samples, 0.02%)Parse::do_one_block (49 samples, 0.02%)Parse::do_one_bytecode (49 samples, 0.02%)Parse::do_call (49 samples, 0.02%)ParseGenerator::generate (54 samples, 0.02%)Parse::Parse (54 samples, 0.02%)Parse::do_all_blocks (54 samples, 0.02%)Parse::do_one_block (54 samples, 0.02%)Parse::do_one_bytecode (54 samples, 0.02%)Parse::do_call (54 samples, 0.02%)Parse::Parse (63 samples, 0.02%)Parse::do_all_blocks (63 samples, 0.02%)Parse::do_one_block (63 samples, 0.02%)Parse::do_one_bytecode (63 samples, 0.02%)Parse::do_call (63 samples, 0.02%)ParseGenerator::generate (32 samples, 0.01%)Parse::Parse (32 samples, 0.01%)Parse::do_all_blocks (32 samples, 0.01%)Parse::do_one_block (32 samples, 0.01%)Parse::do_one_bytecode (32 samples, 0.01%)Parse::do_call (32 samples, 0.01%)ParseGenerator::generate (42 samples, 0.01%)Parse::Parse (42 samples, 0.01%)Parse::do_all_blocks (42 samples, 0.01%)Parse::do_one_block (42 samples, 0.01%)Parse::do_one_bytecode (42 samples, 0.01%)Parse::do_call (42 samples, 0.01%)ParseGenerator::generate (46 samples, 0.02%)Parse::Parse (46 samples, 0.02%)Parse::do_all_blocks (46 samples, 0.02%)Parse::do_one_block (46 samples, 0.02%)Parse::do_one_bytecode (46 samples, 0.02%)Parse::do_call (46 samples, 0.02%)ParseGenerator::generate (52 samples, 0.02%)Parse::Parse (52 samples, 0.02%)Parse::do_all_blocks (52 samples, 0.02%)Parse::do_one_block (52 samples, 0.02%)Parse::do_one_bytecode (52 samples, 0.02%)Parse::do_call (52 samples, 0.02%)ParseGenerator::generate (59 samples, 0.02%)Parse::Parse (59 samples, 0.02%)Parse::do_all_blocks (59 samples, 0.02%)Parse::do_one_block (59 samples, 0.02%)Parse::do_one_bytecode (59 samples, 0.02%)Parse::do_call (59 samples, 0.02%)Parse::do_all_blocks (68 samples, 0.02%)Parse::do_one_block (68 samples, 0.02%)Parse::do_one_bytecode (68 samples, 0.02%)Parse::do_call (68 samples, 0.02%)ParseGenerator::generate (34 samples, 0.01%)Parse::Parse (34 samples, 0.01%)Parse::do_all_blocks (32 samples, 0.01%)Parse::do_one_block (32 samples, 0.01%)Parse::do_one_bytecode (32 samples, 0.01%)Parse::do_call (41 samples, 0.01%)ParseGenerator::generate (42 samples, 0.01%)Parse::Parse (42 samples, 0.01%)Parse::do_all_blocks (42 samples, 0.01%)Parse::do_one_block (42 samples, 0.01%)Parse::do_one_bytecode (42 samples, 0.01%)Parse::do_call (54 samples, 0.02%)ParseGenerator::generate (55 samples, 0.02%)Parse::Parse (55 samples, 0.02%)Parse::do_all_blocks (55 samples, 0.02%)Parse::do_one_block (55 samples, 0.02%)Parse::do_one_bytecode (55 samples, 0.02%)ParseGenerator::generate (69 samples, 0.02%)Parse::Parse (69 samples, 0.02%)Parse::do_all_blocks (69 samples, 0.02%)Parse::do_one_block (69 samples, 0.02%)Parse::do_one_bytecode (69 samples, 0.02%)Parse::do_call (69 samples, 0.02%)ParseGenerator::generate (80 samples, 0.03%)Parse::Parse (80 samples, 0.03%)Parse::do_all_blocks (80 samples, 0.03%)Parse::do_one_block (80 samples, 0.03%)Parse::do_one_bytecode (80 samples, 0.03%)Parse::do_call (80 samples, 0.03%)ParseGenerator::generate (95 samples, 0.03%)Parse::Parse (95 samples, 0.03%)Parse::do_all_blocks (95 samples, 0.03%)Parse::do_one_block (95 samples, 0.03%)Parse::do_one_bytecode (95 samples, 0.03%)Parse::do_call (95 samples, 0.03%)ParseGenerator::generate (108 samples, 0.04%)Parse::Parse (108 samples, 0.04%)Parse::do_all_blocks (108 samples, 0.04%)Parse::do_one_block (108 samples, 0.04%)Parse::do_one_bytecode (108 samples, 0.04%)Parse::do_call (108 samples, 0.04%)ParseGenerator::generate (120 samples, 0.04%)Parse::Parse (120 samples, 0.04%)Parse::do_all_blocks (120 samples, 0.04%)Parse::do_one_block (120 samples, 0.04%)Parse::do_one_bytecode (120 samples, 0.04%)Parse::do_call (120 samples, 0.04%)Parse::do_call (138 samples, 0.05%)ParseGenerator::generate (35 samples, 0.01%)Parse::Parse (35 samples, 0.01%)Parse::do_all_blocks (35 samples, 0.01%)Parse::do_one_block (35 samples, 0.01%)Parse::do_one_bytecode (35 samples, 0.01%)Parse::do_call (35 samples, 0.01%)ParseGenerator::generate (41 samples, 0.01%)Parse::Parse (41 samples, 0.01%)Parse::do_all_blocks (41 samples, 0.01%)Parse::do_one_block (41 samples, 0.01%)Parse::do_one_bytecode (41 samples, 0.01%)Parse::do_call (41 samples, 0.01%)ParseGenerator::generate (48 samples, 0.02%)Parse::Parse (48 samples, 0.02%)Parse::do_all_blocks (48 samples, 0.02%)Parse::do_one_block (48 samples, 0.02%)Parse::do_one_bytecode (48 samples, 0.02%)Parse::do_call (48 samples, 0.02%)ParseGenerator::generate (51 samples, 0.02%)Parse::Parse (51 samples, 0.02%)Parse::do_all_blocks (51 samples, 0.02%)Parse::do_one_block (51 samples, 0.02%)Parse::do_one_bytecode (51 samples, 0.02%)Parse::do_call (51 samples, 0.02%)ParseGenerator::generate (57 samples, 0.02%)Parse::Parse (57 samples, 0.02%)Parse::do_all_blocks (57 samples, 0.02%)Parse::do_one_block (57 samples, 0.02%)Parse::do_one_bytecode (57 samples, 0.02%)Parse::do_call (57 samples, 0.02%)Parse::do_one_block (68 samples, 0.02%)Parse::do_one_bytecode (68 samples, 0.02%)Parse::do_call (68 samples, 0.02%)Parse::do_call (34 samples, 0.01%)ParseGenerator::generate (35 samples, 0.01%)Parse::Parse (35 samples, 0.01%)Parse::do_all_blocks (35 samples, 0.01%)Parse::do_one_block (35 samples, 0.01%)Parse::do_one_bytecode (35 samples, 0.01%)ParseGenerator::generate (45 samples, 0.01%)Parse::Parse (45 samples, 0.01%)Parse::do_all_blocks (45 samples, 0.01%)Parse::do_one_block (45 samples, 0.01%)Parse::do_one_bytecode (45 samples, 0.01%)Parse::do_call (45 samples, 0.01%)ParseGenerator::generate (53 samples, 0.02%)Parse::Parse (53 samples, 0.02%)Parse::do_all_blocks (53 samples, 0.02%)Parse::do_one_block (53 samples, 0.02%)Parse::do_one_bytecode (53 samples, 0.02%)Parse::do_call (53 samples, 0.02%)ParseGenerator::generate (62 samples, 0.02%)Parse::Parse (62 samples, 0.02%)Parse::do_all_blocks (62 samples, 0.02%)Parse::do_one_block (62 samples, 0.02%)Parse::do_one_bytecode (62 samples, 0.02%)Parse::do_call (62 samples, 0.02%)ParseGenerator::generate (70 samples, 0.02%)Parse::Parse (70 samples, 0.02%)Parse::do_all_blocks (70 samples, 0.02%)Parse::do_one_block (70 samples, 0.02%)Parse::do_one_bytecode (70 samples, 0.02%)Parse::do_call (70 samples, 0.02%)ParseGenerator::generate (76 samples, 0.02%)Parse::Parse (76 samples, 0.02%)Parse::do_all_blocks (76 samples, 0.02%)Parse::do_one_block (76 samples, 0.02%)Parse::do_one_bytecode (76 samples, 0.02%)Parse::do_call (76 samples, 0.02%)Parse::do_one_bytecode (80 samples, 0.03%)Parse::do_call (80 samples, 0.03%)ParseGenerator::generate (34 samples, 0.01%)Parse::Parse (34 samples, 0.01%)Parse::do_call (58 samples, 0.02%)ParseGenerator::generate (84 samples, 0.03%)Parse::Parse (84 samples, 0.03%)Parse::do_all_blocks (84 samples, 0.03%)Parse::do_one_block (84 samples, 0.03%)Parse::do_one_bytecode (84 samples, 0.03%)Parse::do_call (114 samples, 0.04%)ParseGenerator::generate (125 samples, 0.04%)Parse::Parse (125 samples, 0.04%)Parse::do_all_blocks (125 samples, 0.04%)Parse::do_one_block (125 samples, 0.04%)Parse::do_one_bytecode (125 samples, 0.04%)Parse::do_call (159 samples, 0.05%)ParseGenerator::generate (168 samples, 0.06%)Parse::Parse (168 samples, 0.06%)Parse::do_all_blocks (168 samples, 0.06%)Parse::do_one_block (168 samples, 0.06%)Parse::do_one_bytecode (168 samples, 0.06%)ParseGenerator::generate (200 samples, 0.07%)Parse::Parse (200 samples, 0.07%)Parse::do_all_blocks (200 samples, 0.07%)Parse::do_one_block (200 samples, 0.07%)Parse::do_one_bytecode (200 samples, 0.07%)Parse::do_call (200 samples, 0.07%)PredictedCallGenerator::generate (31 samples, 0.01%)ParseGenerator::generate (230 samples, 0.08%)Parse::Parse (230 samples, 0.08%)Parse::do_all_blocks (230 samples, 0.08%)Parse::do_one_block (230 samples, 0.08%)Parse::do_one_bytecode (230 samples, 0.08%)Parse::do_call (230 samples, 0.08%)ParseGenerator::generate (252 samples, 0.08%)Parse::Parse (252 samples, 0.08%)Parse::do_all_blocks (252 samples, 0.08%)Parse::do_one_block (252 samples, 0.08%)Parse::do_one_bytecode (252 samples, 0.08%)Parse::do_call (252 samples, 0.08%)ParseGenerator::generate (31 samples, 0.01%)Parse::Parse (31 samples, 0.01%)Parse::do_all_blocks (31 samples, 0.01%)Parse::do_one_block (31 samples, 0.01%)Parse::do_one_bytecode (31 samples, 0.01%)Parse::do_call (31 samples, 0.01%)ParseGenerator::generate (33 samples, 0.01%)Parse::Parse (33 samples, 0.01%)Parse::do_all_blocks (33 samples, 0.01%)Parse::do_one_block (33 samples, 0.01%)Parse::do_one_bytecode (33 samples, 0.01%)Parse::do_call (33 samples, 0.01%)ParseGenerator::generate (288 samples, 0.09%)Parse::Parse (288 samples, 0.09%)Parse::do_all_blocks (288 samples, 0.09%)Parse::do_one_block (288 samples, 0.09%)Parse::do_one_bytecode (288 samples, 0.09%)Parse::do_call (288 samples, 0.09%)PredictedCallGenerator::generate (36 samples, 0.01%)ParseGenerator::generate (328 samples, 0.11%)Parse::Parse (328 samples, 0.11%)Parse::do_all_blocks (328 samples, 0.11%)Parse::do_one_block (328 samples, 0.11%)Parse::do_one_bytecode (328 samples, 0.11%)Parse::do_call (328 samples, 0.11%)PredictedCallGenerator::generate (40 samples, 0.01%)ParseGenerator::generate (39 samples, 0.01%)Parse::Parse (39 samples, 0.01%)Thread::call_run (74 samples, 0.02%)JavaThread::thread_main_inner (74 samples, 0.02%)CompileBroker::compiler_thread_loop (74 samples, 0.02%)CompileBroker::invoke_compiler_on_method (74 samples, 0.02%)C2Compiler::compile_method (74 samples, 0.02%)Compile::Compile (74 samples, 0.02%)_dl_update_slotinfo (46 samples, 0.02%)start_thread (55 samples, 0.02%)thread_native_entry (55 samples, 0.02%)Thread::call_run (55 samples, 0.02%)JavaThread::thread_main_inner (55 samples, 0.02%)CompileBroker::compiler_thread_loop (55 samples, 0.02%)CompileBroker::invoke_compiler_on_method (55 samples, 0.02%)C2Compiler::compile_method (55 samples, 0.02%)Compile::Compile (55 samples, 0.02%)[unknown] (97,618 samples, 32.11%)[unknown]Compile::Init (32 samples, 0.01%)Dict::Insert (52 samples, 0.02%)Type::Initialize (61 samples, 0.02%)CompileWrapper::CompileWrapper (64 samples, 0.02%)Compile::identify_useful_nodes (242 samples, 0.08%)Compile::remove_useless_nodes (264 samples, 0.09%)Compile::update_dead_node_list (47 samples, 0.02%)PhaseRemoveUseless::PhaseRemoveUseless (619 samples, 0.20%)ciEnv::register_method (31 samples, 0.01%)C2Compiler::compile_method (905 samples, 0.30%)Compile::Compile (893 samples, 0.29%)ciEnv::~ciEnv (31 samples, 0.01%)CompileBroker::invoke_compiler_on_method (1,065 samples, 0.35%)__perf_event_task_sched_in (60 samples, 0.02%)x86_pmu_enable (59 samples, 0.02%)intel_pmu_enable_all (59 samples, 0.02%)native_write_msr (58 samples, 0.02%)finish_task_switch.isra.0 (63 samples, 0.02%)futex_wait_queue_me (99 samples, 0.03%)schedule (93 samples, 0.03%)__schedule (92 samples, 0.03%)futex_wait (108 samples, 0.04%)__x64_sys_futex (110 samples, 0.04%)do_futex (109 samples, 0.04%)__GI___futex_abstimed_wait_cancelable64 (126 samples, 0.04%)__futex_abstimed_wait_common (126 samples, 0.04%)__futex_abstimed_wait_common64 (126 samples, 0.04%)entry_SYSCALL_64_after_hwframe (124 samples, 0.04%)do_syscall_64 (123 samples, 0.04%)___pthread_cond_timedwait64 (132 samples, 0.04%)__pthread_cond_wait_common (131 samples, 0.04%)Monitor::wait (163 samples, 0.05%)Monitor::IWait (159 samples, 0.05%)os::PlatformEvent::park (146 samples, 0.05%)CompileQueue::get (210 samples, 0.07%)CompileBroker::compiler_thread_loop (1,297 samples, 0.43%)__clone3 (1,300 samples, 0.43%)start_thread (1,299 samples, 0.43%)thread_native_entry (1,299 samples, 0.43%)Thread::call_run (1,299 samples, 0.43%)JavaThread::thread_main_inner (1,299 samples, 0.43%)asm_exc_page_fault (69 samples, 0.02%)C2_CompilerThre (104,127 samples, 34.25%)C2_CompilerThre[perf-720743.map] (113 samples, 0.04%)Command-Accumul (134 samples, 0.04%)__perf_event_task_sched_in (33 samples, 0.01%)finish_task_switch.isra.0 (34 samples, 0.01%)futex_wait_queue_me (116 samples, 0.04%)schedule (108 samples, 0.04%)__schedule (108 samples, 0.04%)futex_wait (139 samples, 0.05%)do_futex (143 samples, 0.05%)__x64_sys_futex (148 samples, 0.05%)entry_SYSCALL_64_after_hwframe (165 samples, 0.05%)do_syscall_64 (164 samples, 0.05%)__GI___futex_abstimed_wait_cancelable64 (173 samples, 0.06%)__futex_abstimed_wait_common (173 samples, 0.06%)__futex_abstimed_wait_common64 (173 samples, 0.06%)___pthread_cond_timedwait64 (180 samples, 0.06%)__pthread_cond_wait_common (180 samples, 0.06%)futex_wait_queue_me (39 samples, 0.01%)schedule (39 samples, 0.01%)__schedule (39 samples, 0.01%)do_futex (43 samples, 0.01%)futex_wait (43 samples, 0.01%)__x64_sys_futex (45 samples, 0.01%)__GI___futex_abstimed_wait_cancelable64 (56 samples, 0.02%)__futex_abstimed_wait_common (56 samples, 0.02%)__futex_abstimed_wait_common64 (56 samples, 0.02%)entry_SYSCALL_64_after_hwframe (54 samples, 0.02%)do_syscall_64 (54 samples, 0.02%)___pthread_cond_wait (58 samples, 0.02%)__pthread_cond_wait_common (58 samples, 0.02%)Parker::park (296 samples, 0.10%)Unsafe_Park (304 samples, 0.10%)[perf-720743.map] (872 samples, 0.29%)ForkJoinPool.co (923 samples, 0.30%)__clone3 (49 samples, 0.02%)start_thread (49 samples, 0.02%)thread_native_entry (49 samples, 0.02%)Thread::call_run (49 samples, 0.02%)GangWorker::loop (49 samples, 0.02%)G1_Conc#0 (54 samples, 0.02%)__clone3 (32 samples, 0.01%)start_thread (32 samples, 0.01%)thread_native_entry (32 samples, 0.01%)Thread::call_run (32 samples, 0.01%)GangWorker::loop (32 samples, 0.01%)G1_Conc#1 (35 samples, 0.01%)DirtyCardQueueSet::refine_completed_buffer_concurrently (54 samples, 0.02%)G1RemSet::refine_card_concurrently (54 samples, 0.02%)G1ConcurrentRefineThread::run_service (56 samples, 0.02%)G1_Refine#0 (70 samples, 0.02%)__clone3 (65 samples, 0.02%)start_thread (65 samples, 0.02%)thread_native_entry (65 samples, 0.02%)Thread::call_run (65 samples, 0.02%)ConcurrentGCThread::run (65 samples, 0.02%)G1ParScanThreadState::copy_to_survivor_space (80 samples, 0.03%)G1ParScanThreadState::trim_queue (133 samples, 0.04%)SpinPause (118 samples, 0.04%)G1ParEvacuateFollowersClosure::do_void (269 samples, 0.09%)G1ParScanThreadState::do_oop_evac<unsigned int> (54 samples, 0.02%)G1ParScanThreadState::copy_to_survivor_space (41 samples, 0.01%)G1ParScanThreadState::trim_queue_partially (72 samples, 0.02%)G1RemSet::oops_into_collection_set_do (76 samples, 0.02%)G1RemSet::update_rem_set (76 samples, 0.02%)G1CollectedHeap::iterate_dirty_card_closure (76 samples, 0.02%)DirtyCardQueueSet::apply_closure_during_gc (76 samples, 0.02%)G1RefineCardClosure::do_card_ptr (76 samples, 0.02%)InterpreterOopMap::iterate_oop (38 samples, 0.01%)G1ParScanThreadState::trim_queue_partially (37 samples, 0.01%)frame::oops_interpreted_do (44 samples, 0.01%)G1RootProcessor::process_java_roots (68 samples, 0.02%)Threads::possibly_parallel_oops_do (68 samples, 0.02%)Threads::possibly_parallel_threads_do (68 samples, 0.02%)JavaThread::oops_do (68 samples, 0.02%)G1ParTask::work (442 samples, 0.15%)G1RootProcessor::evacuate_roots (89 samples, 0.03%)G1ParEvacuateFollowersClosure::do_void (42 samples, 0.01%)RefProcPhase2Task::work (55 samples, 0.02%)G1STWRefProcTaskProxy::work (107 samples, 0.04%)RefProcPhase3Task::work (52 samples, 0.02%)ReferenceProcessor::process_final_keep_alive_work (52 samples, 0.02%)G1ParEvacuateFollowersClosure::do_void (52 samples, 0.02%)SpinPause (50 samples, 0.02%)ParallelSPCleanupTask::work (38 samples, 0.01%)Threads::possibly_parallel_threads_do (33 samples, 0.01%)finish_task_switch.isra.0 (37 samples, 0.01%)__perf_event_task_sched_in (36 samples, 0.01%)x86_pmu_enable (36 samples, 0.01%)intel_pmu_enable_all (36 samples, 0.01%)native_write_msr (36 samples, 0.01%)__x64_sys_futex (46 samples, 0.02%)do_futex (46 samples, 0.02%)futex_wait (46 samples, 0.02%)futex_wait_queue_me (45 samples, 0.01%)schedule (44 samples, 0.01%)__schedule (43 samples, 0.01%)entry_SYSCALL_64_after_hwframe (49 samples, 0.02%)do_syscall_64 (49 samples, 0.02%)__clone3 (651 samples, 0.21%)start_thread (651 samples, 0.21%)thread_native_entry (651 samples, 0.21%)Thread::call_run (651 samples, 0.21%)GangWorker::loop (651 samples, 0.21%)SemaphoreGangTaskDispatcher::worker_wait_for_task (53 samples, 0.02%)PosixSemaphore::wait (53 samples, 0.02%)__new_sem_wait_slow64 (53 samples, 0.02%)__GI___futex_abstimed_wait_cancelable64 (52 samples, 0.02%)__futex_abstimed_wait_common (52 samples, 0.02%)__futex_abstimed_wait_common64 (52 samples, 0.02%)GC_Thread#0 (667 samples, 0.22%)G1ParScanThreadState::copy_to_survivor_space (71 samples, 0.02%)G1ParScanThreadState::trim_queue (99 samples, 0.03%)G1ParEvacuateFollowersClosure::do_void (212 samples, 0.07%)SpinPause (104 samples, 0.03%)G1ParScanThreadState::do_oop_evac<unsigned int> (42 samples, 0.01%)G1ParScanThreadState::copy_to_survivor_space (37 samples, 0.01%)G1ParScanThreadState::trim_queue_partially (54 samples, 0.02%)G1RemSet::oops_into_collection_set_do (57 samples, 0.02%)G1RemSet::update_rem_set (57 samples, 0.02%)G1CollectedHeap::iterate_dirty_card_closure (57 samples, 0.02%)DirtyCardQueueSet::apply_closure_during_gc (57 samples, 0.02%)G1RefineCardClosure::do_card_ptr (57 samples, 0.02%)G1RootProcessor::evacuate_roots (61 samples, 0.02%)G1ParTask::work (358 samples, 0.12%)G1ParEvacuateFollowersClosure::do_void (32 samples, 0.01%)RefProcPhase2Task::work (41 samples, 0.01%)G1STWRefProcTaskProxy::work (78 samples, 0.03%)RefProcPhase3Task::work (37 samples, 0.01%)ReferenceProcessor::process_final_keep_alive_work (37 samples, 0.01%)G1ParEvacuateFollowersClosure::do_void (37 samples, 0.01%)SpinPause (37 samples, 0.01%)ParallelSPCleanupTask::work (38 samples, 0.01%)Threads::possibly_parallel_threads_do (36 samples, 0.01%)__x64_sys_futex (35 samples, 0.01%)do_futex (35 samples, 0.01%)futex_wait (35 samples, 0.01%)futex_wait_queue_me (34 samples, 0.01%)schedule (34 samples, 0.01%)__schedule (34 samples, 0.01%)__clone3 (532 samples, 0.17%)start_thread (532 samples, 0.17%)thread_native_entry (532 samples, 0.17%)Thread::call_run (532 samples, 0.17%)GangWorker::loop (532 samples, 0.17%)SemaphoreGangTaskDispatcher::worker_wait_for_task (42 samples, 0.01%)PosixSemaphore::wait (42 samples, 0.01%)__new_sem_wait_slow64 (41 samples, 0.01%)__GI___futex_abstimed_wait_cancelable64 (41 samples, 0.01%)__futex_abstimed_wait_common (41 samples, 0.01%)__futex_abstimed_wait_common64 (41 samples, 0.01%)entry_SYSCALL_64_after_hwframe (39 samples, 0.01%)do_syscall_64 (39 samples, 0.01%)GC_Thread#1 (554 samples, 0.18%)G1ParScanThreadState::copy_to_survivor_space (71 samples, 0.02%)G1ParScanThreadState::trim_queue (122 samples, 0.04%)SpinPause (107 samples, 0.04%)G1ParEvacuateFollowersClosure::do_void (239 samples, 0.08%)G1ParScanThreadState::do_oop_evac<unsigned int> (43 samples, 0.01%)G1ParScanThreadState::copy_to_survivor_space (35 samples, 0.01%)G1ParScanThreadState::trim_queue_partially (56 samples, 0.02%)G1RemSet::oops_into_collection_set_do (59 samples, 0.02%)G1RemSet::update_rem_set (59 samples, 0.02%)G1CollectedHeap::iterate_dirty_card_closure (59 samples, 0.02%)DirtyCardQueueSet::apply_closure_during_gc (59 samples, 0.02%)G1RefineCardClosure::do_card_ptr (59 samples, 0.02%)G1RemSet::scan_rem_set (41 samples, 0.01%)G1CollectionSet::iterate_from (41 samples, 0.01%)G1ScanRSForRegionClosure::do_heap_region (41 samples, 0.01%)G1RootProcessor::process_java_roots (34 samples, 0.01%)Threads::possibly_parallel_oops_do (34 samples, 0.01%)Threads::possibly_parallel_threads_do (34 samples, 0.01%)JavaThread::oops_do (34 samples, 0.01%)G1ParTask::work (394 samples, 0.13%)G1RootProcessor::evacuate_roots (53 samples, 0.02%)SpinPause (33 samples, 0.01%)G1ParEvacuateFollowersClosure::do_void (47 samples, 0.02%)RefProcPhase2Task::work (63 samples, 0.02%)G1STWRefProcTaskProxy::work (94 samples, 0.03%)RefProcPhase3Task::work (31 samples, 0.01%)ReferenceProcessor::process_final_keep_alive_work (31 samples, 0.01%)G1ParEvacuateFollowersClosure::do_void (31 samples, 0.01%)__perf_event_task_sched_in (44 samples, 0.01%)x86_pmu_enable (44 samples, 0.01%)intel_pmu_enable_all (44 samples, 0.01%)native_write_msr (43 samples, 0.01%)finish_task_switch.isra.0 (48 samples, 0.02%)__schedule (57 samples, 0.02%)__x64_sys_futex (60 samples, 0.02%)do_futex (60 samples, 0.02%)futex_wait (60 samples, 0.02%)futex_wait_queue_me (58 samples, 0.02%)schedule (58 samples, 0.02%)__clone3 (604 samples, 0.20%)start_thread (604 samples, 0.20%)thread_native_entry (604 samples, 0.20%)Thread::call_run (604 samples, 0.20%)GangWorker::loop (604 samples, 0.20%)SemaphoreGangTaskDispatcher::worker_wait_for_task (66 samples, 0.02%)PosixSemaphore::wait (66 samples, 0.02%)__new_sem_wait_slow64 (66 samples, 0.02%)__GI___futex_abstimed_wait_cancelable64 (66 samples, 0.02%)__futex_abstimed_wait_common (66 samples, 0.02%)__futex_abstimed_wait_common64 (66 samples, 0.02%)entry_SYSCALL_64_after_hwframe (66 samples, 0.02%)do_syscall_64 (65 samples, 0.02%)GC_Thread#2 (613 samples, 0.20%)OopOopIterateBackwardsDispatch<G1ScanEvacuatedObjClosure>::Table::oop_oop_iterate_backwards<InstanceKlass, unsigned int> (34 samples, 0.01%)G1ParScanThreadState::copy_to_survivor_space (113 samples, 0.04%)G1ParScanThreadState::trim_queue (182 samples, 0.06%)SpinPause (115 samples, 0.04%)G1ParEvacuateFollowersClosure::do_void (314 samples, 0.10%)G1ParScanThreadState::do_oop_evac<unsigned int> (97 samples, 0.03%)G1ParScanThreadState::copy_to_survivor_space (78 samples, 0.03%)G1ParScanThreadState::trim_queue_partially (122 samples, 0.04%)G1RemSet::oops_into_collection_set_do (135 samples, 0.04%)G1RemSet::update_rem_set (135 samples, 0.04%)G1CollectedHeap::iterate_dirty_card_closure (135 samples, 0.04%)DirtyCardQueueSet::apply_closure_during_gc (135 samples, 0.04%)G1RefineCardClosure::do_card_ptr (135 samples, 0.04%)frame::oops_interpreted_do (34 samples, 0.01%)G1RootProcessor::process_java_roots (76 samples, 0.02%)Threads::possibly_parallel_oops_do (76 samples, 0.02%)Threads::possibly_parallel_threads_do (76 samples, 0.02%)JavaThread::oops_do (76 samples, 0.02%)G1ParTask::work (569 samples, 0.19%)G1RootProcessor::evacuate_roots (102 samples, 0.03%)G1ParEvacuateFollowersClosure::do_void (44 samples, 0.01%)SpinPause (31 samples, 0.01%)RefProcPhase2Task::work (50 samples, 0.02%)G1STWRefProcTaskProxy::work (104 samples, 0.03%)RefProcPhase3Task::work (54 samples, 0.02%)ReferenceProcessor::process_final_keep_alive_work (54 samples, 0.02%)G1ParEvacuateFollowersClosure::do_void (54 samples, 0.02%)SpinPause (54 samples, 0.02%)ParallelSPCleanupTask::work (33 samples, 0.01%)__clone3 (760 samples, 0.25%)start_thread (760 samples, 0.25%)thread_native_entry (760 samples, 0.25%)Thread::call_run (760 samples, 0.25%)GangWorker::loop (760 samples, 0.25%)GC_Thread#3 (781 samples, 0.26%)G1ParScanThreadState::trim_queue (79 samples, 0.03%)G1ParScanThreadState::copy_to_survivor_space (55 samples, 0.02%)SpinPause (64 samples, 0.02%)G1ParEvacuateFollowersClosure::do_void (155 samples, 0.05%)G1ParScanThreadState::trim_queue_partially (38 samples, 0.01%)G1ParScanThreadState::do_oop_evac<unsigned int> (32 samples, 0.01%)G1RemSet::oops_into_collection_set_do (42 samples, 0.01%)G1RemSet::update_rem_set (42 samples, 0.01%)G1CollectedHeap::iterate_dirty_card_closure (42 samples, 0.01%)DirtyCardQueueSet::apply_closure_during_gc (42 samples, 0.01%)G1RefineCardClosure::do_card_ptr (42 samples, 0.01%)G1ParScanThreadState::do_oop_evac<unsigned int> (86 samples, 0.03%)G1ParScanThreadState::copy_to_survivor_space (68 samples, 0.02%)ClassLoaderDataGraph::roots_cld_do (121 samples, 0.04%)G1CLDScanClosure::do_cld (121 samples, 0.04%)ClassLoaderData::oops_do (121 samples, 0.04%)G1ParScanThreadState::trim_queue_partially (107 samples, 0.04%)G1RootProcessor::process_java_roots (159 samples, 0.05%)Threads::possibly_parallel_oops_do (38 samples, 0.01%)Threads::possibly_parallel_threads_do (38 samples, 0.01%)JavaThread::oops_do (38 samples, 0.01%)G1ParTask::work (364 samples, 0.12%)G1RootProcessor::evacuate_roots (164 samples, 0.05%)G1ParEvacuateFollowersClosure::do_void (48 samples, 0.02%)SpinPause (33 samples, 0.01%)RefProcPhase2Task::work (57 samples, 0.02%)G1STWRefProcTaskProxy::work (79 samples, 0.03%)JavaThread::nmethods_do (32 samples, 0.01%)ParallelSPCleanupTask::work (43 samples, 0.01%)Threads::possibly_parallel_threads_do (39 samples, 0.01%)__clone3 (547 samples, 0.18%)start_thread (547 samples, 0.18%)thread_native_entry (547 samples, 0.18%)Thread::call_run (547 samples, 0.18%)GangWorker::loop (547 samples, 0.18%)GC_Thread#4 (563 samples, 0.19%)OopOopIterateBackwardsDispatch<G1ScanEvacuatedObjClosure>::Table::oop_oop_iterate_backwards<InstanceKlass, unsigned int> (33 samples, 0.01%)G1ParScanThreadState::copy_to_survivor_space (89 samples, 0.03%)G1ParScanThreadState::trim_queue (145 samples, 0.05%)SpinPause (114 samples, 0.04%)G1ParEvacuateFollowersClosure::do_void (279 samples, 0.09%)G1ParScanThreadState::do_oop_evac<unsigned int> (51 samples, 0.02%)G1ParScanThreadState::copy_to_survivor_space (38 samples, 0.01%)G1ParScanThreadState::trim_queue_partially (69 samples, 0.02%)G1RemSet::oops_into_collection_set_do (81 samples, 0.03%)G1RemSet::update_rem_set (81 samples, 0.03%)G1CollectedHeap::iterate_dirty_card_closure (81 samples, 0.03%)DirtyCardQueueSet::apply_closure_during_gc (81 samples, 0.03%)G1RefineCardClosure::do_card_ptr (81 samples, 0.03%)G1RemSet::scan_rem_set (39 samples, 0.01%)G1CollectionSet::iterate_from (39 samples, 0.01%)G1ScanRSForRegionClosure::do_heap_region (39 samples, 0.01%)G1ParTask::work (428 samples, 0.14%)G1STWRefProcTaskProxy::work (64 samples, 0.02%)RefProcPhase3Task::work (35 samples, 0.01%)ReferenceProcessor::process_final_keep_alive_work (35 samples, 0.01%)G1ParEvacuateFollowersClosure::do_void (35 samples, 0.01%)SpinPause (34 samples, 0.01%)ParallelSPCleanupTask::work (32 samples, 0.01%)Threads::possibly_parallel_threads_do (31 samples, 0.01%)__perf_event_task_sched_in (55 samples, 0.02%)x86_pmu_enable (55 samples, 0.02%)intel_pmu_enable_all (55 samples, 0.02%)native_write_msr (55 samples, 0.02%)finish_task_switch.isra.0 (56 samples, 0.02%)__x64_sys_futex (66 samples, 0.02%)do_futex (66 samples, 0.02%)futex_wait (66 samples, 0.02%)futex_wait_queue_me (65 samples, 0.02%)schedule (65 samples, 0.02%)__schedule (65 samples, 0.02%)__GI___futex_abstimed_wait_cancelable64 (74 samples, 0.02%)__futex_abstimed_wait_common (74 samples, 0.02%)__futex_abstimed_wait_common64 (74 samples, 0.02%)entry_SYSCALL_64_after_hwframe (73 samples, 0.02%)do_syscall_64 (73 samples, 0.02%)__clone3 (618 samples, 0.20%)start_thread (618 samples, 0.20%)thread_native_entry (618 samples, 0.20%)Thread::call_run (618 samples, 0.20%)GangWorker::loop (618 samples, 0.20%)SemaphoreGangTaskDispatcher::worker_wait_for_task (76 samples, 0.02%)PosixSemaphore::wait (76 samples, 0.02%)__new_sem_wait_slow64 (76 samples, 0.02%)GC_Thread#5 (634 samples, 0.21%)G1ParScanThreadState::copy_to_survivor_space (84 samples, 0.03%)G1ParScanThreadState::trim_queue (140 samples, 0.05%)SpinPause (94 samples, 0.03%)G1ParEvacuateFollowersClosure::do_void (250 samples, 0.08%)G1ParScanThreadState::do_oop_evac<unsigned int> (91 samples, 0.03%)G1ParScanThreadState::copy_to_survivor_space (77 samples, 0.03%)G1ParScanThreadState::trim_queue_partially (117 samples, 0.04%)G1RemSet::oops_into_collection_set_do (125 samples, 0.04%)G1RemSet::update_rem_set (125 samples, 0.04%)G1CollectedHeap::iterate_dirty_card_closure (125 samples, 0.04%)DirtyCardQueueSet::apply_closure_during_gc (125 samples, 0.04%)G1RefineCardClosure::do_card_ptr (125 samples, 0.04%)ClassLoaderData::oops_do (66 samples, 0.02%)G1ParScanThreadState::trim_queue_partially (60 samples, 0.02%)G1ParScanThreadState::do_oop_evac<unsigned int> (56 samples, 0.02%)G1ParScanThreadState::copy_to_survivor_space (47 samples, 0.02%)ClassLoaderDataGraph::roots_cld_do (68 samples, 0.02%)G1CLDScanClosure::do_cld (67 samples, 0.02%)InterpreterOopMap::iterate_oop (41 samples, 0.01%)G1ParScanThreadState::trim_queue_partially (39 samples, 0.01%)G1RootProcessor::process_java_roots (119 samples, 0.04%)Threads::possibly_parallel_oops_do (51 samples, 0.02%)Threads::possibly_parallel_threads_do (51 samples, 0.02%)JavaThread::oops_do (51 samples, 0.02%)frame::oops_interpreted_do (50 samples, 0.02%)G1ParTask::work (528 samples, 0.17%)G1RootProcessor::evacuate_roots (133 samples, 0.04%)G1ParEvacuateFollowersClosure::do_void (38 samples, 0.01%)RefProcPhase2Task::work (50 samples, 0.02%)G1STWRefProcTaskProxy::work (81 samples, 0.03%)RefProcPhase3Task::work (31 samples, 0.01%)ReferenceProcessor::process_final_keep_alive_work (31 samples, 0.01%)G1ParEvacuateFollowersClosure::do_void (31 samples, 0.01%)ParallelSPCleanupTask::work (39 samples, 0.01%)Threads::possibly_parallel_threads_do (32 samples, 0.01%)__x64_sys_futex (35 samples, 0.01%)do_futex (35 samples, 0.01%)futex_wait (35 samples, 0.01%)futex_wait_queue_me (35 samples, 0.01%)schedule (35 samples, 0.01%)__schedule (35 samples, 0.01%)__clone3 (701 samples, 0.23%)start_thread (701 samples, 0.23%)thread_native_entry (701 samples, 0.23%)Thread::call_run (701 samples, 0.23%)GangWorker::loop (701 samples, 0.23%)SemaphoreGangTaskDispatcher::worker_wait_for_task (42 samples, 0.01%)PosixSemaphore::wait (42 samples, 0.01%)__new_sem_wait_slow64 (42 samples, 0.01%)__GI___futex_abstimed_wait_cancelable64 (40 samples, 0.01%)__futex_abstimed_wait_common (40 samples, 0.01%)__futex_abstimed_wait_common64 (40 samples, 0.01%)entry_SYSCALL_64_after_hwframe (40 samples, 0.01%)do_syscall_64 (40 samples, 0.01%)GC_Thread#6 (721 samples, 0.24%)G1ParScanThreadState::copy_to_survivor_space (75 samples, 0.02%)G1ParScanThreadState::trim_queue (121 samples, 0.04%)SpinPause (89 samples, 0.03%)G1ParEvacuateFollowersClosure::do_void (219 samples, 0.07%)G1ParScanThreadState::copy_to_survivor_space (79 samples, 0.03%)G1ParScanThreadState::do_oop_evac<unsigned int> (96 samples, 0.03%)G1ParScanThreadState::trim_queue_partially (123 samples, 0.04%)G1RemSet::oops_into_collection_set_do (132 samples, 0.04%)G1RemSet::update_rem_set (132 samples, 0.04%)G1CollectedHeap::iterate_dirty_card_closure (132 samples, 0.04%)DirtyCardQueueSet::apply_closure_during_gc (132 samples, 0.04%)G1RefineCardClosure::do_card_ptr (132 samples, 0.04%)G1RemSet::scan_rem_set (35 samples, 0.01%)G1CollectionSet::iterate_from (35 samples, 0.01%)G1ScanRSForRegionClosure::do_heap_region (35 samples, 0.01%)G1ParScanThreadState::do_oop_evac<unsigned int> (40 samples, 0.01%)G1ParScanThreadState::copy_to_survivor_space (34 samples, 0.01%)InterpreterOopMap::iterate_oop (65 samples, 0.02%)G1ParScanThreadState::trim_queue_partially (64 samples, 0.02%)G1RootProcessor::process_java_roots (110 samples, 0.04%)Threads::possibly_parallel_oops_do (90 samples, 0.03%)Threads::possibly_parallel_threads_do (90 samples, 0.03%)JavaThread::oops_do (90 samples, 0.03%)frame::oops_interpreted_do (72 samples, 0.02%)G1ParTask::work (510 samples, 0.17%)G1RootProcessor::evacuate_roots (124 samples, 0.04%)G1ParEvacuateFollowersClosure::do_void (37 samples, 0.01%)RefProcPhase2Task::work (45 samples, 0.01%)G1STWRefProcTaskProxy::work (79 samples, 0.03%)RefProcPhase3Task::work (34 samples, 0.01%)ReferenceProcessor::process_final_keep_alive_work (34 samples, 0.01%)G1ParEvacuateFollowersClosure::do_void (34 samples, 0.01%)SpinPause (34 samples, 0.01%)ParallelSPCleanupTask::work (31 samples, 0.01%)__x64_sys_futex (32 samples, 0.01%)do_futex (32 samples, 0.01%)futex_wait (32 samples, 0.01%)entry_SYSCALL_64_after_hwframe (37 samples, 0.01%)do_syscall_64 (37 samples, 0.01%)__clone3 (687 samples, 0.23%)start_thread (687 samples, 0.23%)thread_native_entry (687 samples, 0.23%)Thread::call_run (687 samples, 0.23%)GangWorker::loop (687 samples, 0.23%)SemaphoreGangTaskDispatcher::worker_wait_for_task (38 samples, 0.01%)PosixSemaphore::wait (38 samples, 0.01%)__new_sem_wait_slow64 (38 samples, 0.01%)__GI___futex_abstimed_wait_cancelable64 (38 samples, 0.01%)__futex_abstimed_wait_common (38 samples, 0.01%)__futex_abstimed_wait_common64 (38 samples, 0.01%)GC_Thread#7 (709 samples, 0.23%)[perf-720743.map] (116 samples, 0.04%)Service_Thread (130 samples, 0.04%)finish_task_switch.isra.0 (103 samples, 0.03%)__perf_event_task_sched_in (102 samples, 0.03%)x86_pmu_enable (102 samples, 0.03%)intel_pmu_enable_all (102 samples, 0.03%)native_write_msr (102 samples, 0.03%)__schedule (169 samples, 0.06%)futex_wait_queue_me (189 samples, 0.06%)schedule (173 samples, 0.06%)futex_wait (199 samples, 0.07%)do_futex (203 samples, 0.07%)__x64_sys_futex (208 samples, 0.07%)do_syscall_64 (229 samples, 0.08%)entry_SYSCALL_64_after_hwframe (231 samples, 0.08%)__GI___futex_abstimed_wait_cancelable64 (239 samples, 0.08%)__futex_abstimed_wait_common (239 samples, 0.08%)__futex_abstimed_wait_common64 (238 samples, 0.08%)___pthread_cond_timedwait64 (248 samples, 0.08%)__pthread_cond_wait_common (247 samples, 0.08%)Monitor::wait (288 samples, 0.09%)Monitor::IWait (285 samples, 0.09%)os::PlatformEvent::park (275 samples, 0.09%)CompiledMethod::cleanup_inline_caches_impl (129 samples, 0.04%)NMethodSweeper::process_compiled_method (187 samples, 0.06%)NMethodSweeper::possibly_sweep (239 samples, 0.08%)NMethodSweeper::sweep_code_cache (223 samples, 0.07%)__clone3 (555 samples, 0.18%)start_thread (555 samples, 0.18%)thread_native_entry (555 samples, 0.18%)Thread::call_run (555 samples, 0.18%)JavaThread::thread_main_inner (555 samples, 0.18%)NMethodSweeper::sweeper_loop (554 samples, 0.18%)Sweeper_thread (586 samples, 0.19%)[perf-720743.map] (210 samples, 0.07%)Thread-0 (232 samples, 0.08%)__x64_sys_futex (33 samples, 0.01%)do_futex (33 samples, 0.01%)futex_wait (32 samples, 0.01%)___pthread_cond_timedwait64 (36 samples, 0.01%)__pthread_cond_wait_common (36 samples, 0.01%)__GI___futex_abstimed_wait_cancelable64 (36 samples, 0.01%)__futex_abstimed_wait_common (35 samples, 0.01%)__futex_abstimed_wait_common64 (35 samples, 0.01%)entry_SYSCALL_64_after_hwframe (35 samples, 0.01%)do_syscall_64 (35 samples, 0.01%)Monitor::wait (40 samples, 0.01%)Monitor::IWait (40 samples, 0.01%)os::PlatformEvent::park (37 samples, 0.01%)VM_Periodic_Tas (50 samples, 0.02%)__clone3 (47 samples, 0.02%)start_thread (47 samples, 0.02%)thread_native_entry (47 samples, 0.02%)Thread::call_run (47 samples, 0.02%)WatcherThread::run (47 samples, 0.02%)WatcherThread::sleep (41 samples, 0.01%)[unknown] (119 samples, 0.04%)vframe::sender (115 samples, 0.04%)__perf_event_task_sched_in (43 samples, 0.01%)x86_pmu_enable (43 samples, 0.01%)intel_pmu_enable_all (42 samples, 0.01%)native_write_msr (42 samples, 0.01%)finish_task_switch.isra.0 (45 samples, 0.01%)__schedule (48 samples, 0.02%)__x64_sys_futex (58 samples, 0.02%)do_futex (57 samples, 0.02%)futex_wait (57 samples, 0.02%)futex_wait_queue_me (54 samples, 0.02%)schedule (50 samples, 0.02%)__GI___futex_abstimed_wait_cancelable64 (61 samples, 0.02%)__futex_abstimed_wait_common (61 samples, 0.02%)__futex_abstimed_wait_common64 (61 samples, 0.02%)entry_SYSCALL_64_after_hwframe (61 samples, 0.02%)do_syscall_64 (60 samples, 0.02%)___pthread_cond_timedwait64 (63 samples, 0.02%)__pthread_cond_wait_common (62 samples, 0.02%)Monitor::wait (65 samples, 0.02%)Monitor::IWait (64 samples, 0.02%)os::PlatformEvent::park (64 samples, 0.02%)__x64_sys_futex (48 samples, 0.02%)do_futex (48 samples, 0.02%)futex_wake (48 samples, 0.02%)wake_up_q (41 samples, 0.01%)try_to_wake_up (41 samples, 0.01%)PosixSemaphore::signal (52 samples, 0.02%)__new_sem_post (52 samples, 0.02%)futex_wake (51 samples, 0.02%)entry_SYSCALL_64_after_hwframe (50 samples, 0.02%)do_syscall_64 (49 samples, 0.02%)WorkGang::run_task (57 samples, 0.02%)SemaphoreGangTaskDispatcher::coordinator_execute_on_workers (56 samples, 0.02%)SafepointSynchronize::do_cleanup_tasks (61 samples, 0.02%)__schedule (31 samples, 0.01%)schedule (33 samples, 0.01%)do_sched_yield (55 samples, 0.02%)__x64_sys_sched_yield (57 samples, 0.02%)entry_SYSCALL_64_after_hwframe (61 samples, 0.02%)do_syscall_64 (60 samples, 0.02%)__GI___sched_yield (81 samples, 0.03%)SafepointSynchronize::begin (638 samples, 0.21%)SpinPause (48 samples, 0.02%)CodeHeap::next_used (36 samples, 0.01%)CodeCache::make_marked_nmethods_not_entrant (54 samples, 0.02%)CodeBlobIterator<CompiledMethod, CompiledMethodFilter>::next_alive (50 samples, 0.02%)VM_Deoptimize::doit (61 samples, 0.02%)VMThread::evaluate_operation (118 samples, 0.04%)VM_Operation::evaluate (115 samples, 0.04%)Thread::call_run (891 samples, 0.29%)VMThread::run (891 samples, 0.29%)VMThread::loop (891 samples, 0.29%)__clone3 (898 samples, 0.30%)start_thread (895 samples, 0.29%)thread_native_entry (895 samples, 0.29%)VM_Thread (1,064 samples, 0.35%)finish_task_switch.isra.0 (56 samples, 0.02%)__perf_event_task_sched_in (56 samples, 0.02%)x86_pmu_enable (55 samples, 0.02%)intel_pmu_enable_all (55 samples, 0.02%)native_write_msr (54 samples, 0.02%)__x64_sys_epoll_pwait (78 samples, 0.03%)do_epoll_pwait.part.0 (77 samples, 0.03%)do_epoll_wait (77 samples, 0.03%)ep_poll (75 samples, 0.02%)schedule_hrtimeout_range (71 samples, 0.02%)schedule_hrtimeout_range_clock (70 samples, 0.02%)schedule (65 samples, 0.02%)__schedule (65 samples, 0.02%)__perf_event_task_sched_in (107 samples, 0.04%)x86_pmu_enable (104 samples, 0.03%)intel_pmu_enable_all (104 samples, 0.03%)native_write_msr (104 samples, 0.03%)finish_task_switch.isra.0 (113 samples, 0.04%)futex_wait_queue_me (133 samples, 0.04%)schedule (132 samples, 0.04%)__schedule (132 samples, 0.04%)futex_wait (134 samples, 0.04%)__x64_sys_futex (135 samples, 0.04%)do_futex (135 samples, 0.04%)__x64_sys_nanosleep (41 samples, 0.01%)hrtimer_nanosleep (41 samples, 0.01%)do_nanosleep (41 samples, 0.01%)schedule (39 samples, 0.01%)__schedule (39 samples, 0.01%)entry_SYSCALL_64_after_hwframe (301 samples, 0.10%)do_syscall_64 (299 samples, 0.10%)__perf_event_task_sched_in (35 samples, 0.01%)x86_pmu_enable (34 samples, 0.01%)intel_pmu_enable_all (33 samples, 0.01%)native_write_msr (33 samples, 0.01%)ret_from_fork (37 samples, 0.01%)schedule_tail (37 samples, 0.01%)finish_task_switch.isra.0 (36 samples, 0.01%)[sha256-awvLLqFbyhb_+r5v2nWANEA3U1TAhUgP42HSy_MlAds=] (567 samples, 0.19%)_start (35 samples, 0.01%)bazel (615 samples, 0.20%)RunfilesCreator::ReadManifest (58 samples, 0.02%)[unknown] (59 samples, 0.02%)copy_inode_items_to_log (31 samples, 0.01%)__x64_sys_rename (61 samples, 0.02%)do_renameat2 (61 samples, 0.02%)vfs_rename (58 samples, 0.02%)btrfs_rename2 (58 samples, 0.02%)btrfs_rename (58 samples, 0.02%)btrfs_log_new_name (48 samples, 0.02%)btrfs_log_inode_parent (48 samples, 0.02%)log_all_new_ancestors (44 samples, 0.01%)btrfs_log_inode (44 samples, 0.01%)RunfilesCreator::CreateRunfiles (104 samples, 0.03%)rename (63 samples, 0.02%)entry_SYSCALL_64_after_hwframe (62 samples, 0.02%)do_syscall_64 (62 samples, 0.02%)unload_network_ops_symbols (62 samples, 0.02%)__libc_start_main_impl (121 samples, 0.04%)__libc_start_call_main (121 samples, 0.04%)main (112 samples, 0.04%)_dl_map_segments (42 samples, 0.01%)_dl_map_object_from_fd (68 samples, 0.02%)_dl_map_object_deps (107 samples, 0.04%)_dl_catch_exception (105 samples, 0.03%)openaux (104 samples, 0.03%)_dl_map_object (104 samples, 0.03%)dl_new_hash (46 samples, 0.02%)_dl_lookup_symbol_x (137 samples, 0.05%)do_lookup_x (76 samples, 0.02%)elf_machine_rela (181 samples, 0.06%)elf_dynamic_do_Rela (222 samples, 0.07%)_dl_relocate_object (232 samples, 0.08%)dl_main (380 samples, 0.12%)_dl_start_final (386 samples, 0.13%)_dl_sysdep_start (385 samples, 0.13%)_dl_start (392 samples, 0.13%)_start (518 samples, 0.17%)__x64_sys_execve (32 samples, 0.01%)do_execveat_common.isra.0 (32 samples, 0.01%)bprm_execve (32 samples, 0.01%)bprm_execve.part.0 (32 samples, 0.01%)exec_binprm (32 samples, 0.01%)search_binary_handler (32 samples, 0.01%)load_elf_binary (32 samples, 0.01%)exit_mm (44 samples, 0.01%)mmput (44 samples, 0.01%)exit_mmap (44 samples, 0.01%)build-runfiles (722 samples, 0.24%)entry_SYSCALL_64_after_hwframe (89 samples, 0.03%)do_syscall_64 (89 samples, 0.03%)__x64_sys_exit_group (57 samples, 0.02%)do_group_exit (57 samples, 0.02%)do_exit (57 samples, 0.02%)__GI___nss_passwd_lookup2 (31 samples, 0.01%)__GI__nss_files_getpwuid_r (33 samples, 0.01%)getpwuid (100 samples, 0.03%)__getpwuid_r (100 samples, 0.03%)__nscd_getpwuid_r (34 samples, 0.01%)[bash] (127 samples, 0.04%)initialize_shell_variables (153 samples, 0.05%)[bash] (197 samples, 0.06%)LoadImageEventSource_GetImageHashMem (144 samples, 0.05%)_ZdlPv (143 samples, 0.05%)_ZdlPv (142 samples, 0.05%)cshook_network_ops_inet6_sockraw_recvmsg (142 samples, 0.05%)cshook_network_ops_inet6_sockraw_recvmsg (130 samples, 0.04%)cshook_network_ops_inet6_sockraw_recvmsg (37 samples, 0.01%)LoadImageEventSource_GetImageHashMem (188 samples, 0.06%)cshook_systemcalltable_pre_compat_sys_ioctl (33 samples, 0.01%)cshook_systemcalltable_pre_compat_sys_ioctl (32 samples, 0.01%)LoadImageEventSource_GetImageHashMem (208 samples, 0.07%)_ZdlPv (32 samples, 0.01%)_ZdlPv (59 samples, 0.02%)_ZdlPv (66 samples, 0.02%)_ZdlPv (73 samples, 0.02%)_ZdlPv (75 samples, 0.02%)_ZdlPv (87 samples, 0.03%)_ZdlPv (107 samples, 0.04%)cshook_network_ops_inet6_sockraw_recvmsg (42 samples, 0.01%)cshook_network_ops_inet6_sockraw_recvmsg (36 samples, 0.01%)_ZdlPv (48 samples, 0.02%)_ZdlPv (51 samples, 0.02%)cshook_systemcalltable_pre_compat_sys_ioctl (64 samples, 0.02%)cshook_systemcalltable_pre_compat_sys_ioctl (44 samples, 0.01%)cshook_systemcalltable_pre_compat_sys_ioctl (39 samples, 0.01%)cshook_systemcalltable_pre_compat_sys_ioctl (102 samples, 0.03%)cshook_systemcalltable_pre_compat_sys_ioctl (93 samples, 0.03%)cshook_systemcalltable_pre_compat_sys_ioctl (118 samples, 0.04%)cshook_systemcalltable_pre_compat_sys_ioctl (136 samples, 0.04%)cshook_systemcalltable_pre_compat_sys_ioctl (151 samples, 0.05%)cshook_systemcalltable_pre_compat_sys_ioctl (166 samples, 0.05%)cshook_systemcalltable_pre_compat_sys_ioctl (199 samples, 0.07%)cshook_systemcalltable_pre_compat_sys_ioctl (182 samples, 0.06%)cshook_systemcalltable_pre_compat_sys_ioctl (254 samples, 0.08%)_ZdlPv (685 samples, 0.23%)cshook_systemcalltable_pre_compat_sys_ioctl (349 samples, 0.11%)cshook_systemcalltable_pre_compat_sys_ioctl (292 samples, 0.10%)_ZdlPv (695 samples, 0.23%)_ZdlPv (694 samples, 0.23%)_ZdlPv (41 samples, 0.01%)_ZdlPv (40 samples, 0.01%)cshook_network_ops_inet6_sockraw_recvmsg (39 samples, 0.01%)cshook_network_ops_inet6_sockraw_recvmsg (37 samples, 0.01%)LoadImageEventSource_GetImageHashMem (42 samples, 0.01%)LoadImageEventSource_GetImageHashMem (83 samples, 0.03%)cshook_systemcalltable_pre_compat_sys_ioctl (33 samples, 0.01%)cshook_systemcalltable_pre_compat_sys_ioctl (33 samples, 0.01%)cshook_systemcalltable_pre_compat_sys_ioctl (32 samples, 0.01%)LoadImageEventSource_GetImageHashMem (92 samples, 0.03%)_ZdlPv (34 samples, 0.01%)cshook_network_ops_inet6_sockraw_recvmsg (79 samples, 0.03%)cshook_network_ops_inet6_sockraw_recvmsg (71 samples, 0.02%)_ZdlPv (84 samples, 0.03%)_ZdlPv (83 samples, 0.03%)cshook_systemcalltable_pre_compat_sys_ioctl (65 samples, 0.02%)cshook_systemcalltable_pre_compat_sys_ioctl (52 samples, 0.02%)cshook_systemcalltable_pre_compat_sys_ioctl (43 samples, 0.01%)cshook_systemcalltable_pre_compat_sys_ioctl (77 samples, 0.03%)cshook_systemcalltable_pre_compat_sys_ioctl (89 samples, 0.03%)cshook_systemcalltable_pre_compat_sys_ioctl (135 samples, 0.04%)cshook_systemcalltable_pre_compat_sys_ioctl (114 samples, 0.04%)cshook_systemcalltable_pre_compat_sys_ioctl (102 samples, 0.03%)_ZdlPv (384 samples, 0.13%)_ZdlPv (384 samples, 0.13%)_ZdlPv (384 samples, 0.13%)_ZdlPv (381 samples, 0.13%)cshook_systemcalltable_pre_compat_sys_ioctl (241 samples, 0.08%)cshook_systemcalltable_pre_compat_sys_ioctl (152 samples, 0.05%)cshook_security_sb_free_security (404 samples, 0.13%)cshook_security_file_permission (1,110 samples, 0.37%)cshook_security_sb_free_security (1,109 samples, 0.36%)cshook_security_sb_free_security (1,109 samples, 0.36%)exec_binprm (1,148 samples, 0.38%)search_binary_handler (1,147 samples, 0.38%)security_bprm_check (1,126 samples, 0.37%)pinnedhook_security_bprm_check_security (1,126 samples, 0.37%)cshook_security_bprm_check_security (1,126 samples, 0.37%)cshook_security_file_permission (1,125 samples, 0.37%)bprm_execve (1,190 samples, 0.39%)bprm_execve.part.0 (1,190 samples, 0.39%)shell_execve (1,208 samples, 0.40%)__GI_execve (1,208 samples, 0.40%)entry_SYSCALL_64_after_hwframe (1,208 samples, 0.40%)do_syscall_64 (1,208 samples, 0.40%)__x64_sys_execve (1,208 samples, 0.40%)do_execveat_common.isra.0 (1,208 samples, 0.40%)exec_builtin (1,213 samples, 0.40%)[bash] (1,232 samples, 0.41%)[bash] (1,242 samples, 0.41%)execute_command (1,258 samples, 0.41%)execute_command_internal (1,258 samples, 0.41%)execute_command (1,244 samples, 0.41%)execute_command_internal (1,244 samples, 0.41%)[bash] (47 samples, 0.02%)[bash] (55 samples, 0.02%)reader_loop (1,321 samples, 0.43%)read_command (63 samples, 0.02%)parse_command (62 samples, 0.02%)yyparse (62 samples, 0.02%)__libc_start_main_impl (1,627 samples, 0.54%)__libc_start_call_main (1,621 samples, 0.53%)main (1,621 samples, 0.53%)mmap_region (32 samples, 0.01%)__x64_sys_mmap (33 samples, 0.01%)ksys_mmap_pgoff (33 samples, 0.01%)vm_mmap_pgoff (33 samples, 0.01%)do_mmap (33 samples, 0.01%)__mmap64 (38 samples, 0.01%)__mmap64 (38 samples, 0.01%)entry_SYSCALL_64_after_hwframe (35 samples, 0.01%)do_syscall_64 (35 samples, 0.01%)unload_network_ops_symbols (35 samples, 0.01%)_dl_map_segments (49 samples, 0.02%)_dl_map_object_from_fd (75 samples, 0.02%)_dl_map_object_deps (119 samples, 0.04%)_dl_catch_exception (117 samples, 0.04%)openaux (117 samples, 0.04%)_dl_map_object (117 samples, 0.04%)_dl_lookup_symbol_x (81 samples, 0.03%)do_lookup_x (62 samples, 0.02%)check_match (34 samples, 0.01%)elf_machine_rela (103 samples, 0.03%)elf_dynamic_do_Rela (146 samples, 0.05%)elf_machine_rela_relative (35 samples, 0.01%)_dl_relocate_object (169 samples, 0.06%)dl_main (360 samples, 0.12%)_dl_start_final (375 samples, 0.12%)_dl_sysdep_start (373 samples, 0.12%)_dl_start (381 samples, 0.13%)_start (2,021 samples, 0.66%)asm_exc_page_fault (31 samples, 0.01%)exec_mmap (101 samples, 0.03%)mmput (100 samples, 0.03%)exit_mmap (100 samples, 0.03%)unmap_vmas (62 samples, 0.02%)unmap_single_vma (60 samples, 0.02%)unmap_page_range (59 samples, 0.02%)zap_pmd_range.isra.0 (55 samples, 0.02%)zap_pte_range (49 samples, 0.02%)begin_new_exec (106 samples, 0.03%)load_elf_binary (160 samples, 0.05%)entry_SYSCALL_64_after_hwframe (161 samples, 0.05%)do_syscall_64 (161 samples, 0.05%)__x64_sys_execve (161 samples, 0.05%)do_execveat_common.isra.0 (161 samples, 0.05%)bprm_execve (161 samples, 0.05%)bprm_execve.part.0 (161 samples, 0.05%)exec_binprm (161 samples, 0.05%)search_binary_handler (161 samples, 0.05%)cc_wrapper.sh (2,271 samples, 0.75%)[[heap]] (419 samples, 0.14%)[[stack]] (245 samples, 0.08%)[anon] (79 samples, 0.03%)clang::Parser::ParseTopLevelDecl (49 samples, 0.02%)clang::Parser::ParseExternalDeclaration (49 samples, 0.02%)clang::Parser::ParseDeclarationOrFunctionDefinition (49 samples, 0.02%)clang::Parser::ParseDeclOrFunctionDefInternal (49 samples, 0.02%)clang::Parser::ParseLinkage (47 samples, 0.02%)clang::Parser::ParseExternalDeclaration (47 samples, 0.02%)ExecuteCC1Tool (58 samples, 0.02%)cc1_main (58 samples, 0.02%)clang::ExecuteCompilerInvocation (57 samples, 0.02%)clang::CompilerInstance::ExecuteAction (57 samples, 0.02%)clang::FrontendAction::Execute (57 samples, 0.02%)clang::ParseAST (57 samples, 0.02%)llvm::opt::OptTable::ParseArgs (32 samples, 0.01%)clang::CompilerInvocation::CreateFromArgs (69 samples, 0.02%)clang::CompilerInvocation::CreateFromArgsImpl (69 samples, 0.02%)llvm::TargetPassConfig::addPass (39 samples, 0.01%)llvm::TargetPassConfig::addMachinePasses (50 samples, 0.02%)llvm::LLVMTargetMachine::addPassesToEmitFile (117 samples, 0.04%)llvm::AsmPrinter::doInitialization (33 samples, 0.01%)llvm::FPPassManager::doInitialization (37 samples, 0.01%)llvm::FPPassManager::runOnModule (48 samples, 0.02%)llvm::FPPassManager::runOnFunction (48 samples, 0.02%)llvm::legacy::PassManagerImpl::run (100 samples, 0.03%)clang::BackendConsumer::HandleTranslationUnit (250 samples, 0.08%)clang::EmitBackendOutput (250 samples, 0.08%)clang::Preprocessor::HandleDefineDirective (51 samples, 0.02%)clang::Lexer::LexTokenInternal (36 samples, 0.01%)clang::Preprocessor::HandleIfdefDirective (60 samples, 0.02%)clang::Preprocessor::SkipExcludedConditionalBlock (54 samples, 0.02%)clang::Parser::ExpectAndConsumeSemi (203 samples, 0.07%)clang::Preprocessor::Lex (203 samples, 0.07%)clang::Preprocessor::CachingLex (202 samples, 0.07%)clang::Preprocessor::Lex (202 samples, 0.07%)clang::Lexer::LexTokenInternal (202 samples, 0.07%)clang::Preprocessor::HandleDirective (200 samples, 0.07%)clang::Parser::ParseDeclGroup (239 samples, 0.08%)clang::Parser::ParseDeclaration (260 samples, 0.09%)clang::Parser::ParseSimpleDeclaration (260 samples, 0.09%)clang::Preprocessor::HandleDirective (39 samples, 0.01%)clang::Lexer::LexTokenInternal (41 samples, 0.01%)clang::Parser::ExpectAndConsumeSemi (47 samples, 0.02%)clang::Preprocessor::Lex (47 samples, 0.02%)clang::Sema::ActOnDeclarator (55 samples, 0.02%)clang::Sema::HandleDeclarator (55 samples, 0.02%)clang::Parser::ParseDeclarationAfterDeclaratorAndAttributes (59 samples, 0.02%)clang::Parser::ParseDirectDeclarator (55 samples, 0.02%)clang::Parser::ParseDeclGroup (188 samples, 0.06%)clang::Parser::ParseDeclaratorInternal (62 samples, 0.02%)clang::Parser::ParseExternalDeclaration (489 samples, 0.16%)clang::Parser::ParseDeclarationOrFunctionDefinition (229 samples, 0.08%)clang::Parser::ParseDeclOrFunctionDefInternal (229 samples, 0.08%)clang::Parser::ParseTopLevelDecl (510 samples, 0.17%)clang::Parser::ParseExternalDeclaration (510 samples, 0.17%)clang::Parser::ParseDeclarationOrFunctionDefinition (510 samples, 0.17%)clang::Parser::ParseDeclOrFunctionDefInternal (510 samples, 0.17%)clang::Parser::ParseLinkage (496 samples, 0.16%)cc1_main (871 samples, 0.29%)clang::ExecuteCompilerInvocation (802 samples, 0.26%)clang::CompilerInstance::ExecuteAction (802 samples, 0.26%)clang::FrontendAction::Execute (793 samples, 0.26%)clang::ParseAST (793 samples, 0.26%)llvm::X86LegalizerInfo::X86LegalizerInfo (49 samples, 0.02%)clang::BackendConsumer::HandleTranslationUnit (120 samples, 0.04%)clang::EmitBackendOutput (106 samples, 0.03%)llvm::legacy::PassManagerImpl::run (106 samples, 0.03%)llvm::FPPassManager::runOnModule (79 samples, 0.03%)llvm::FPPassManager::runOnFunction (79 samples, 0.03%)llvm::X86TargetMachine::getSubtargetImpl (58 samples, 0.02%)llvm::X86Subtarget::X86Subtarget (58 samples, 0.02%)clang::CodeGen::CodeGenModule::EmitTopLevelDecl (38 samples, 0.01%)clang::CodeGen::CodeGenModule::EmitGlobalDefinition (38 samples, 0.01%)clang::CodeGen::CodeGenModule::EmitGlobalFunctionDefinition (38 samples, 0.01%)llvm::X86Subtarget::X86Subtarget (55 samples, 0.02%)llvm::X86TargetLowering::X86TargetLowering (48 samples, 0.02%)clang::BackendConsumer::HandleTranslationUnit (65 samples, 0.02%)clang::EmitBackendOutput (65 samples, 0.02%)llvm::legacy::PassManagerImpl::run (65 samples, 0.02%)llvm::FPPassManager::runOnModule (65 samples, 0.02%)llvm::FPPassManager::runOnFunction (65 samples, 0.02%)llvm::X86TargetMachine::getSubtargetImpl (60 samples, 0.02%)clang::CompilerInstance::ExecuteAction (84 samples, 0.03%)clang::FrontendAction::Execute (84 samples, 0.03%)clang::ParseAST (84 samples, 0.03%)do_readlinkat (44 samples, 0.01%)__GI_readlink (46 samples, 0.02%)entry_SYSCALL_64_after_hwframe (45 samples, 0.01%)do_syscall_64 (45 samples, 0.01%)__x64_sys_readlink (45 samples, 0.01%)link_path_walk.part.0.constprop.0 (33 samples, 0.01%)do_filp_open (56 samples, 0.02%)path_openat (56 samples, 0.02%)__x64_sys_openat (70 samples, 0.02%)do_sys_openat2 (70 samples, 0.02%)cshook_systemcalltable_post_openat (52 samples, 0.02%)fshook_syscalltable_pre_lchown (41 samples, 0.01%)do_syscall_64 (137 samples, 0.05%)unload_network_ops_symbols (125 samples, 0.04%)entry_SYSCALL_64_after_hwframe (138 samples, 0.05%)__libc_open64 (140 samples, 0.05%)llvm::sys::fs::openFile (148 samples, 0.05%)clang::DirectoryLookup::LookupFile (207 samples, 0.07%)clang::HeaderSearch::getFileAndSuggestModule (207 samples, 0.07%)clang::FileManager::getFileRef (207 samples, 0.07%)clang::FileManager::getStatValue (207 samples, 0.07%)clang::FileSystemStatCache::get (207 samples, 0.07%)llvm::sys::fs::openNativeFileForRead (207 samples, 0.07%)llvm::sys::fs::openFileForRead (207 samples, 0.07%)clang::EmitBackendOutput (37 samples, 0.01%)clang::BackendConsumer::HandleTranslationUnit (35 samples, 0.01%)clang::EmitBackendOutput (35 samples, 0.01%)llvm::legacy::PassManagerImpl::run (35 samples, 0.01%)llvm::FPPassManager::runOnModule (35 samples, 0.01%)llvm::FPPassManager::runOnFunction (35 samples, 0.01%)clang::FrontendAction::Execute (50 samples, 0.02%)clang::ParseAST (50 samples, 0.02%)clang::Parser::ParseClassSpecifier (34 samples, 0.01%)clang::Parser::ParseCXXMemberSpecification (33 samples, 0.01%)clang::Parser::ParseCXXClassMemberDeclarationWithPragmas (33 samples, 0.01%)clang::Parser::ParseCXXClassMemberDeclaration (33 samples, 0.01%)clang::Sema::GetTypeForDeclarator (41 samples, 0.01%)GetFullTypeForDeclarator (37 samples, 0.01%)clang::Parser::ParseDeclaratorInternal (110 samples, 0.04%)clang::Parser::ParseDirectDeclarator (89 samples, 0.03%)clang::Parser::ParseFunctionDeclarator (89 samples, 0.03%)clang::Parser::ParseParameterDeclarationClause (89 samples, 0.03%)clang::Sema::ActOnParamDeclarator (54 samples, 0.02%)clang::Parser::ParseDeclGroup (130 samples, 0.04%)clang::Parser::ParseDeclOrFunctionDefInternal (34 samples, 0.01%)clang::Parser::ParseDeclaratorInternal (58 samples, 0.02%)clang::Parser::ParseDirectDeclarator (56 samples, 0.02%)clang::Parser::ParseFunctionDeclarator (56 samples, 0.02%)clang::Parser::ParseParameterDeclarationClause (56 samples, 0.02%)clang::Parser::ParseDeclGroup (83 samples, 0.03%)clang::Sema::ActOnFunctionDeclarator (65 samples, 0.02%)clang::Parser::ParseDeclarationAfterDeclaratorAndAttributes (97 samples, 0.03%)clang::Sema::ActOnDeclarator (97 samples, 0.03%)clang::Sema::HandleDeclarator (97 samples, 0.03%)clang::Parser::ParseDeclGroup (99 samples, 0.03%)clang::Parser::ParseDeclarationOrFunctionDefinition (205 samples, 0.07%)clang::Parser::ParseDeclOrFunctionDefInternal (205 samples, 0.07%)clang::Parser::ParseLinkage (120 samples, 0.04%)clang::Parser::ParseExternalDeclaration (120 samples, 0.04%)clang::Parser::ParseDeclarationOrFunctionDefinition (105 samples, 0.03%)clang::Parser::ParseDeclOrFunctionDefInternal (105 samples, 0.03%)clang::Parser::ParseDeclarationSpecifiers (40 samples, 0.01%)clang::Parser::ParseClassSpecifier (40 samples, 0.01%)clang::Parser::ParseCXXMemberSpecification (40 samples, 0.01%)clang::Parser::ParseCXXClassMemberDeclarationWithPragmas (40 samples, 0.01%)clang::Parser::ParseCXXClassMemberDeclaration (40 samples, 0.01%)clang::Parser::ParseExternalDeclaration (60 samples, 0.02%)clang::Parser::ParseDeclarationOrFunctionDefinition (55 samples, 0.02%)clang::Parser::ParseDeclOrFunctionDefInternal (55 samples, 0.02%)clang::Parser::ParseLinkage (42 samples, 0.01%)clang::Parser::ParseExternalDeclaration (42 samples, 0.01%)clang::Parser::ParseDeclarationOrFunctionDefinition (36 samples, 0.01%)clang::Parser::ParseDeclOrFunctionDefInternal (36 samples, 0.01%)clang::Parser::ParseLinkage (40 samples, 0.01%)clang::Parser::ParseExternalDeclaration (40 samples, 0.01%)clang::Parser::ParseDeclarationOrFunctionDefinition (36 samples, 0.01%)clang::Parser::ParseDeclOrFunctionDefInternal (36 samples, 0.01%)clang::Preprocessor::EnterSourceFile (35 samples, 0.01%)clang::SrcMgr::ContentCache::getBufferOrNone (35 samples, 0.01%)clang::FileManager::getBufferForFile (35 samples, 0.01%)clang::Parser::ExpectAndConsumeSemi (42 samples, 0.01%)clang::Preprocessor::Lex (42 samples, 0.01%)clang::Preprocessor::CachingLex (42 samples, 0.01%)clang::Preprocessor::Lex (42 samples, 0.01%)clang::Lexer::LexTokenInternal (42 samples, 0.01%)clang::Preprocessor::HandleDirective (42 samples, 0.01%)clang::Preprocessor::HandleIncludeDirective (42 samples, 0.01%)clang::Preprocessor::HandleHeaderIncludeOrImport (42 samples, 0.01%)clang::Parser::ParseDeclGroup (54 samples, 0.02%)clang::Parser::ParseSimpleDeclaration (60 samples, 0.02%)clang::Parser::ParseDeclarationAfterDeclaratorAndAttributes (40 samples, 0.01%)clang::Sema::ActOnDeclarator (40 samples, 0.01%)clang::Sema::HandleDeclarator (40 samples, 0.01%)clang::Sema::ActOnFunctionDeclarator (40 samples, 0.01%)clang::Parser::ParseDeclGroup (42 samples, 0.01%)clang::Parser::ParseTopLevelDecl (45 samples, 0.01%)clang::Parser::ParseExternalDeclaration (45 samples, 0.01%)clang::Parser::ParseDeclarationOrFunctionDefinition (45 samples, 0.01%)clang::Parser::ParseDeclOrFunctionDefInternal (45 samples, 0.01%)clang::Parser::ParseLinkage (45 samples, 0.01%)clang::Parser::ParseExternalDeclaration (44 samples, 0.01%)clang::Parser::ParseDeclarationOrFunctionDefinition (44 samples, 0.01%)clang::Parser::ParseDeclOrFunctionDefInternal (44 samples, 0.01%)clang::FileManager::getFileRef (75 samples, 0.02%)clang::HeaderSearch::getFileAndSuggestModule (81 samples, 0.03%)clang::Preprocessor::HandleHeaderIncludeOrImport (98 samples, 0.03%)clang::Preprocessor::LookupHeaderIncludeOrImport (96 samples, 0.03%)clang::Preprocessor::LookupFile (96 samples, 0.03%)clang::HeaderSearch::LookupFile (96 samples, 0.03%)clang::DirectoryLookup::LookupFile (96 samples, 0.03%)clang::Parser::ParseExternalDeclaration (59 samples, 0.02%)clang::Parser::ParseDeclarationOrFunctionDefinition (34 samples, 0.01%)clang::Parser::ParseDeclOrFunctionDefInternal (34 samples, 0.01%)clang::Parser::ParseTopLevelDecl (72 samples, 0.02%)clang::Parser::ParseExternalDeclaration (72 samples, 0.02%)clang::Parser::ParseDeclarationOrFunctionDefinition (72 samples, 0.02%)clang::Parser::ParseDeclOrFunctionDefInternal (72 samples, 0.02%)clang::Parser::ParseLinkage (63 samples, 0.02%)clang::FileManager::getBufferForFile (39 samples, 0.01%)clang::SrcMgr::ContentCache::getBufferOrNone (43 samples, 0.01%)clang::Preprocessor::EnterSourceFile (47 samples, 0.02%)clang::driver::CC1Command::Execute (174 samples, 0.06%)llvm::CrashRecoveryContext::RunSafely (174 samples, 0.06%)llvm::function_ref<void ()>::callback_fn<clang::driver::CC1Command::Execute(llvm::ArrayRef<llvm::Optional<llvm::StringRef> >, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >*, bool*) const::$_1> (174 samples, 0.06%)ExecuteCC1Tool (174 samples, 0.06%)cc1_main (174 samples, 0.06%)clang::ExecuteCompilerInvocation (164 samples, 0.05%)clang::CompilerInstance::ExecuteAction (164 samples, 0.05%)clang::FrontendAction::Execute (149 samples, 0.05%)clang::ParseAST (149 samples, 0.05%)clang::Preprocessor::Lex (62 samples, 0.02%)clang::Lexer::LexTokenInternal (62 samples, 0.02%)clang::Preprocessor::HandleDirective (62 samples, 0.02%)clang::Preprocessor::HandleIncludeDirective (51 samples, 0.02%)clang::Preprocessor::HandleHeaderIncludeOrImport (51 samples, 0.02%)clang::driver::CudaInstallationDetector::CudaInstallationDetector (37 samples, 0.01%)clang::driver::Distro::Distro (37 samples, 0.01%)DetectDistro (37 samples, 0.01%)llvm::vfs::FileSystem::getBufferForFile (37 samples, 0.01%)llvm::sys::fs::openNativeFileForRead (37 samples, 0.01%)llvm::sys::fs::openFileForRead (37 samples, 0.01%)clang::driver::Driver::BuildCompilation (52 samples, 0.02%)clang::driver::Driver::getToolChain (38 samples, 0.01%)clang::driver::toolchains::Linux::Linux (38 samples, 0.01%)clang::driver::toolchains::Generic_GCC::Generic_GCC (38 samples, 0.01%)clang::driver::tools::Clang::ConstructJob (58 samples, 0.02%)clang::driver::ToolChain::GetFilePath[abi:cxx11] (42 samples, 0.01%)clang::driver::Driver::GetFilePath[abi:cxx11] (42 samples, 0.01%)clang::driver::tools::gnutools::Linker::ConstructJob (94 samples, 0.03%)clang::driver::Driver::BuildJobs (168 samples, 0.06%)clang::driver::Driver::BuildJobsForAction (168 samples, 0.06%)clang::driver::Driver::BuildJobsForActionNoCache (168 samples, 0.06%)link_path_walk.part.0.constprop.0 (34 samples, 0.01%)do_filp_open (54 samples, 0.02%)path_openat (54 samples, 0.02%)do_sys_openat2 (71 samples, 0.02%)__x64_sys_openat (72 samples, 0.02%)do_syscall_64 (108 samples, 0.04%)unload_network_ops_symbols (94 samples, 0.03%)entry_SYSCALL_64_after_hwframe (111 samples, 0.04%)__GI___open64_nocancel (116 samples, 0.04%)__opendir (122 samples, 0.04%)llvm::sys::fs::detail::directory_iterator_construct (144 samples, 0.05%)llvm::sys::fs::directory_iterator::directory_iterator (148 samples, 0.05%)clang::driver::toolchains::Generic_GCC::GCCInstallationDetector::ScanLibDirForGCCTriple (217 samples, 0.07%)filename_lookup (35 samples, 0.01%)path_lookupat (35 samples, 0.01%)user_path_at_empty (41 samples, 0.01%)___xstat64 (45 samples, 0.01%)entry_SYSCALL_64_after_hwframe (45 samples, 0.01%)do_syscall_64 (43 samples, 0.01%)__x64_sys_newstat (42 samples, 0.01%)__do_sys_newstat (42 samples, 0.01%)vfs_statx (42 samples, 0.01%)llvm::sys::fs::status (46 samples, 0.02%)llvm::vfs::FileSystem::exists (48 samples, 0.02%)clang::driver::toolchains::Generic_GCC::GCCInstallationDetector::init (281 samples, 0.09%)llvm::sys::fs::can_execute (32 samples, 0.01%)llvm::sys::fs::access (32 samples, 0.01%)__GI___access (31 samples, 0.01%)llvm::sys::findProgramByName[abi:cxx11] (35 samples, 0.01%)clang::driver::CudaInstallationDetector::CudaInstallationDetector (73 samples, 0.02%)clang::driver::RocmInstallationDetector::RocmInstallationDetector (40 samples, 0.01%)clang::driver::RocmInstallationDetector::detectHIPRuntime (40 samples, 0.01%)clang::driver::Driver::BuildCompilation (583 samples, 0.19%)clang::driver::Driver::getToolChain (415 samples, 0.14%)clang::driver::toolchains::Linux::Linux (415 samples, 0.14%)clang::driver::toolchains::Generic_GCC::Generic_GCC (123 samples, 0.04%)clang::Builtin::Context::builtinIsSupported (41 samples, 0.01%)llvm::StringMapImpl::LookupBucketFor (62 samples, 0.02%)llvm::StringMap<clang::IdentifierInfo*, llvm::BumpPtrAllocatorImpl<llvm::MallocAllocator, 4096ul, 4096ul, 128ul> >::try_emplace<clang::IdentifierInfo*> (101 samples, 0.03%)clang::IdentifierTable::get (121 samples, 0.04%)clang::Builtin::Context::initializeBuiltins (171 samples, 0.06%)InitializePredefinedMacros (40 samples, 0.01%)clang::InitializePreprocessor (45 samples, 0.01%)clang::CompilerInstance::createPreprocessor (120 samples, 0.04%)std::__shared_ptr<clang::Preprocessor, (__gnu_cxx::_Lock_policy)2>::__shared_ptr<std::allocator<clang::Preprocessor>, std::shared_ptr<clang::PreprocessorOptions>, clang::DiagnosticsEngine&, clang::LangOptions&, clang::SourceManager&, clang::HeaderSearch&, clang::CompilerInstance&, decltype(nullptr), bool, clang::TranslationUnitKind&> (37 samples, 0.01%)clang::Preprocessor::Preprocessor (37 samples, 0.01%)GetOutputStream (44 samples, 0.01%)clang::CompilerInstance::createDefaultOutputFile (44 samples, 0.01%)clang::CompilerInstance::createOutputFile (44 samples, 0.01%)clang::CompilerInstance::createOutputFileImpl (43 samples, 0.01%)clang::FrontendAction::BeginSourceFile (379 samples, 0.12%)clang::FrontendAction::CreateWrappedASTConsumer (51 samples, 0.02%)clang::CodeGenAction::CreateASTConsumer (51 samples, 0.02%)copy_inode_items_to_log (41 samples, 0.01%)btrfs_log_new_name (76 samples, 0.02%)btrfs_log_inode_parent (76 samples, 0.02%)log_all_new_ancestors (56 samples, 0.02%)btrfs_log_inode (56 samples, 0.02%)__x64_sys_rename (104 samples, 0.03%)do_renameat2 (104 samples, 0.03%)vfs_rename (100 samples, 0.03%)btrfs_rename2 (100 samples, 0.03%)btrfs_rename (100 samples, 0.03%)do_syscall_64 (106 samples, 0.03%)unload_network_ops_symbols (106 samples, 0.03%)clang::CompilerInstance::clearOutputFiles (132 samples, 0.04%)llvm::sys::fs::TempFile::keep (132 samples, 0.04%)llvm::sys::fs::rename (109 samples, 0.04%)rename (108 samples, 0.04%)entry_SYSCALL_64_after_hwframe (107 samples, 0.04%)llvm::raw_fd_ostream::raw_fd_ostream (31 samples, 0.01%)llvm::raw_fd_ostream::raw_fd_ostream (31 samples, 0.01%)_ZdlPv (32 samples, 0.01%)clang::FrontendAction::EndSourceFile (228 samples, 0.07%)clang::DependencyFileGenerator::outputDependencyFile (92 samples, 0.03%)llvm::raw_fd_ostream::~raw_fd_ostream (53 samples, 0.02%)llvm::sys::Process::SafelyCloseFileDescriptor (39 samples, 0.01%)__GI___close (39 samples, 0.01%)entry_SYSCALL_64_after_hwframe (39 samples, 0.01%)do_syscall_64 (39 samples, 0.01%)unload_network_ops_symbols (35 samples, 0.01%)cshook_systemcalltable_pre_close (35 samples, 0.01%)cshook_network_ops_inet6_sockraw_recvmsg (35 samples, 0.01%)fshook_syscalltable_pre_lchown (34 samples, 0.01%)_ZdlPv (33 samples, 0.01%)_ZdlPv (33 samples, 0.01%)clang::BalancedDelimiterTracker::consumeClose (95 samples, 0.03%)clang::Parser::ConsumeBrace (95 samples, 0.03%)clang::Preprocessor::Lex (95 samples, 0.03%)clang::Lexer::LexTokenInternal (95 samples, 0.03%)clang::Preprocessor::HandleDirective (86 samples, 0.03%)clang::Parser::ParseInnerNamespace (99 samples, 0.03%)clang::Parser::ParseExternalDeclaration (114 samples, 0.04%)clang::Parser::ParseDeclaration (113 samples, 0.04%)clang::Parser::ParseNamespace (113 samples, 0.04%)clang::Parser::ParseFirstTopLevelDecl (117 samples, 0.04%)clang::Parser::ParseTopLevelDecl (117 samples, 0.04%)clang::Parser::ParseDeclOrFunctionDefInternal (37 samples, 0.01%)clang::Parser::ParseDeclarationOrFunctionDefinition (44 samples, 0.01%)clang::Parser::ParseExternalDeclaration (74 samples, 0.02%)clang::Parser::ParseExternalDeclaration (103 samples, 0.03%)clang::Parser::ParseDeclarationOrFunctionDefinition (103 samples, 0.03%)clang::Parser::ParseDeclOrFunctionDefInternal (103 samples, 0.03%)clang::Parser::ParseLinkage (101 samples, 0.03%)clang::Parser::ParseTopLevelDecl (104 samples, 0.03%)clang::Preprocessor::ReadMacroName (71 samples, 0.02%)clang::Preprocessor::Lex (58 samples, 0.02%)clang::Lexer::LexTokenInternal (55 samples, 0.02%)clang::Lexer::LexIdentifierContinue (52 samples, 0.02%)clang::Preprocessor::LookUpIdentifierInfo (34 samples, 0.01%)clang::Preprocessor::AllocateMacroInfo (32 samples, 0.01%)clang::Preprocessor::Lex (32 samples, 0.01%)clang::Preprocessor::ReadOptionalMacroParameterListAndBody (77 samples, 0.03%)clang::Preprocessor::HandleDefineDirective (178 samples, 0.06%)clang::Preprocessor::EvaluateDirectiveExpression (81 samples, 0.03%)clang::Preprocessor::HandleIfDirective (123 samples, 0.04%)clang::Preprocessor::SkipExcludedConditionalBlock (41 samples, 0.01%)clang::Preprocessor::Lex (37 samples, 0.01%)clang::Lexer::LexTokenInternal (35 samples, 0.01%)clang::CompilerInstance::ExecuteAction (1,364 samples, 0.45%)clang::FrontendAction::Execute (739 samples, 0.24%)clang::ParseAST (721 samples, 0.24%)clang::Preprocessor::Lex (442 samples, 0.15%)clang::Lexer::LexTokenInternal (437 samples, 0.14%)clang::Preprocessor::HandleDirective (409 samples, 0.13%)clang::ExecuteCompilerInvocation (1,405 samples, 0.46%)clang::driver::CC1Command::Execute (1,457 samples, 0.48%)llvm::CrashRecoveryContext::RunSafely (1,457 samples, 0.48%)llvm::function_ref<void ()>::callback_fn<clang::driver::CC1Command::Execute(llvm::ArrayRef<llvm::Optional<llvm::StringRef> >, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >*, bool*) const::$_1> (1,457 samples, 0.48%)ExecuteCC1Tool (1,457 samples, 0.48%)cc1_main (1,456 samples, 0.48%)clang::driver::Driver::ExecuteCompilation (1,467 samples, 0.48%)clang::driver::Compilation::ExecuteJobs (1,467 samples, 0.48%)clang::driver::Compilation::ExecuteCommand (1,467 samples, 0.48%)main (2,079 samples, 0.68%)[unknown] (5,227 samples, 1.72%)_ZdlPv (130 samples, 0.04%)_ZdlPv (128 samples, 0.04%)cshook_network_ops_inet6_sockraw_recvmsg (127 samples, 0.04%)cshook_network_ops_inet6_sockraw_recvmsg (111 samples, 0.04%)cshook_network_ops_inet6_sockraw_recvmsg (31 samples, 0.01%)LoadImageEventSource_GetImageHashMem (131 samples, 0.04%)LoadImageEventSource_GetImageHashMem (162 samples, 0.05%)LoadImageEventSource_GetImageHashMem (157 samples, 0.05%)_ZdlPv (34 samples, 0.01%)_ZdlPv (43 samples, 0.01%)_ZdlPv (48 samples, 0.02%)_ZdlPv (49 samples, 0.02%)_ZdlPv (53 samples, 0.02%)cshook_network_ops_inet6_sockraw_recvmsg (77 samples, 0.03%)cshook_network_ops_inet6_sockraw_recvmsg (61 samples, 0.02%)_ZdlPv (78 samples, 0.03%)_ZdlPv (82 samples, 0.03%)cshook_systemcalltable_pre_compat_sys_ioctl (48 samples, 0.02%)cshook_systemcalltable_pre_compat_sys_ioctl (45 samples, 0.01%)cshook_systemcalltable_pre_compat_sys_ioctl (39 samples, 0.01%)cshook_systemcalltable_pre_compat_sys_ioctl (73 samples, 0.02%)cshook_systemcalltable_pre_compat_sys_ioctl (68 samples, 0.02%)cshook_systemcalltable_pre_compat_sys_ioctl (54 samples, 0.02%)cshook_systemcalltable_pre_compat_sys_ioctl (88 samples, 0.03%)cshook_systemcalltable_pre_compat_sys_ioctl (95 samples, 0.03%)cshook_systemcalltable_pre_compat_sys_ioctl (111 samples, 0.04%)cshook_systemcalltable_pre_compat_sys_ioctl (139 samples, 0.05%)cshook_systemcalltable_pre_compat_sys_ioctl (161 samples, 0.05%)_ZdlPv (483 samples, 0.16%)_ZdlPv (483 samples, 0.16%)_ZdlPv (479 samples, 0.16%)cshook_systemcalltable_pre_compat_sys_ioctl (248 samples, 0.08%)LoadImageEventSource_GetImageHashMem (48 samples, 0.02%)_ZdlPv (48 samples, 0.02%)_ZdlPv (48 samples, 0.02%)cshook_network_ops_inet6_sockraw_recvmsg (47 samples, 0.02%)cshook_network_ops_inet6_sockraw_recvmsg (45 samples, 0.01%)LoadImageEventSource_GetImageHashMem (71 samples, 0.02%)LoadImageEventSource_GetImageHashMem (69 samples, 0.02%)cshook_network_ops_inet6_sockraw_recvmsg (87 samples, 0.03%)_ZdlPv (98 samples, 0.03%)_ZdlPv (98 samples, 0.03%)cshook_network_ops_inet6_sockraw_recvmsg (98 samples, 0.03%)cshook_systemcalltable_pre_compat_sys_ioctl (35 samples, 0.01%)cshook_systemcalltable_pre_compat_sys_ioctl (42 samples, 0.01%)cshook_systemcalltable_pre_compat_sys_ioctl (80 samples, 0.03%)cshook_systemcalltable_pre_compat_sys_ioctl (70 samples, 0.02%)cshook_systemcalltable_pre_compat_sys_ioctl (55 samples, 0.02%)cshook_systemcalltable_pre_compat_sys_ioctl (50 samples, 0.02%)_ZdlPv (298 samples, 0.10%)cshook_systemcalltable_pre_compat_sys_ioctl (191 samples, 0.06%)cshook_systemcalltable_pre_compat_sys_ioctl (91 samples, 0.03%)_ZdlPv (303 samples, 0.10%)_ZdlPv (304 samples, 0.10%)_ZdlPv (304 samples, 0.10%)cshook_security_sb_free_security (314 samples, 0.10%)cshook_security_file_permission (809 samples, 0.27%)cshook_security_sb_free_security (809 samples, 0.27%)cshook_security_sb_free_security (808 samples, 0.27%)exec_binprm (848 samples, 0.28%)search_binary_handler (848 samples, 0.28%)security_bprm_check (818 samples, 0.27%)pinnedhook_security_bprm_check_security (818 samples, 0.27%)cshook_security_bprm_check_security (817 samples, 0.27%)cshook_security_file_permission (817 samples, 0.27%)bprm_execve.part.0 (854 samples, 0.28%)bprm_execve (855 samples, 0.28%)do_execveat_common.isra.0 (863 samples, 0.28%)__spawni_child (870 samples, 0.29%)__GI_execve (864 samples, 0.28%)entry_SYSCALL_64_after_hwframe (864 samples, 0.28%)do_syscall_64 (864 samples, 0.28%)__x64_sys_execve (864 samples, 0.28%)__x64_sys_clone3 (34 samples, 0.01%)__do_sys_clone3 (34 samples, 0.01%)kernel_clone (34 samples, 0.01%)entry_SYSCALL_64_after_hwframe (35 samples, 0.01%)do_syscall_64 (35 samples, 0.01%)finish_task_switch.isra.0 (39 samples, 0.01%)__perf_event_task_sched_in (38 samples, 0.01%)x86_pmu_enable (37 samples, 0.01%)intel_pmu_enable_all (37 samples, 0.01%)native_write_msr (37 samples, 0.01%)schedule_tail (42 samples, 0.01%)__clone3 (954 samples, 0.31%)ret_from_fork (44 samples, 0.01%)_GLOBAL__sub_I_AArch64TargetMachine.cpp (45 samples, 0.01%)initializeCodeGenerationPassOnce (33 samples, 0.01%)llvm::initializeDependenceInfoPass (33 samples, 0.01%)__pthread_once_slow (33 samples, 0.01%)initializeDependenceInfoPassOnce (33 samples, 0.01%)llvm::initializeScopInfoRegionPassPass (33 samples, 0.01%)__pthread_once_slow (37 samples, 0.01%)llvm::initializeCodeGenerationPass (39 samples, 0.01%)_GLOBAL__sub_I_RegisterPasses.cpp (75 samples, 0.02%)polly::initializePollyPasses (64 samples, 0.02%)_GLOBAL__sub_I_SimplifyCFGPass.cpp (36 samples, 0.01%)llvm::cl::Option::addArgument (36 samples, 0.01%)llvm::StringMap<llvm::cl::Option*, llvm::MallocAllocator>::try_emplace<llvm::cl::Option*> (35 samples, 0.01%)llvm::StringMapImpl::RehashTable (33 samples, 0.01%)__libc_csu_init (1,687 samples, 0.55%)__GI_exit (171 samples, 0.06%)__run_exit_handlers (171 samples, 0.06%)clang::CreateAndPopulateDiagOpts (45 samples, 0.01%)clang::driver::toolchains::Linux::Linux (44 samples, 0.01%)clang::driver::Driver::getToolChain (47 samples, 0.02%)clang::driver::Driver::BuildCompilation (98 samples, 0.03%)clang::driver::CC1Command::Execute (55 samples, 0.02%)llvm::CrashRecoveryContext::RunSafely (55 samples, 0.02%)llvm::function_ref<void ()>::callback_fn<clang::driver::CC1Command::Execute(llvm::ArrayRef<llvm::Optional<llvm::StringRef> >, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >*, bool*) const::$_1> (55 samples, 0.02%)ExecuteCC1Tool (55 samples, 0.02%)__perf_event_task_sched_in (53 samples, 0.02%)x86_pmu_enable (51 samples, 0.02%)intel_pmu_enable_all (50 samples, 0.02%)native_write_msr (50 samples, 0.02%)finish_task_switch.isra.0 (59 samples, 0.02%)schedule (61 samples, 0.02%)__schedule (60 samples, 0.02%)__GI___wait4 (71 samples, 0.02%)entry_SYSCALL_64_after_hwframe (70 samples, 0.02%)do_syscall_64 (70 samples, 0.02%)__x64_sys_wait4 (70 samples, 0.02%)__do_sys_wait4 (70 samples, 0.02%)kernel_wait4 (70 samples, 0.02%)do_wait (70 samples, 0.02%)clang::driver::Compilation::ExecuteJobs (130 samples, 0.04%)clang::driver::Compilation::ExecuteCommand (128 samples, 0.04%)clang::driver::Command::Execute (73 samples, 0.02%)llvm::sys::ExecuteAndWait (73 samples, 0.02%)llvm::sys::Wait (72 samples, 0.02%)clang::driver::Driver::ExecuteCompilation (133 samples, 0.04%)__handle_mm_fault (34 samples, 0.01%)handle_pte_fault (31 samples, 0.01%)do_user_addr_fault (39 samples, 0.01%)handle_mm_fault (37 samples, 0.01%)asm_exc_page_fault (43 samples, 0.01%)exc_page_fault (41 samples, 0.01%)[libc.so.6] (62 samples, 0.02%)llvm::opt::OptTable::OptTable (110 samples, 0.04%)[libc.so.6] (56 samples, 0.02%)clang::driver::getDriverOptTable (184 samples, 0.06%)clang::driver::getDriverOptTable (181 samples, 0.06%)llvm::opt::OptTable::addValues (71 samples, 0.02%)optionMatches (67 samples, 0.02%)clang::driver::getDriverMode (193 samples, 0.06%)llvm::object_deleter<llvm::cl::SubCommand>::call (75 samples, 0.02%)llvm::InitLLVM::~InitLLVM (107 samples, 0.04%)llvm::llvm_shutdown (106 samples, 0.03%)LLVMInitializeAArch64Target (32 samples, 0.01%)LLVMInitializeAMDGPUTarget (74 samples, 0.02%)llvm::InitializeAllTargets (234 samples, 0.08%)__libc_start_call_main (1,075 samples, 0.35%)main (904 samples, 0.30%)__libc_start_main_impl (2,765 samples, 0.91%)do_filp_open (34 samples, 0.01%)path_openat (34 samples, 0.01%)do_sys_openat2 (39 samples, 0.01%)__x64_sys_openat (40 samples, 0.01%)[ld-linux-x86-64.so.2] (66 samples, 0.02%)open_verify (51 samples, 0.02%)__GI___open64_nocancel (51 samples, 0.02%)entry_SYSCALL_64_after_hwframe (51 samples, 0.02%)do_syscall_64 (50 samples, 0.02%)unload_network_ops_symbols (44 samples, 0.01%)_dl_load_cache_lookup (38 samples, 0.01%)__split_vma (38 samples, 0.01%)__do_munmap (67 samples, 0.02%)mmap_region (101 samples, 0.03%)do_mmap (104 samples, 0.03%)__x64_sys_mmap (108 samples, 0.04%)ksys_mmap_pgoff (107 samples, 0.04%)vm_mmap_pgoff (106 samples, 0.03%)__mmap64 (122 samples, 0.04%)__mmap64 (122 samples, 0.04%)entry_SYSCALL_64_after_hwframe (118 samples, 0.04%)do_syscall_64 (117 samples, 0.04%)unload_network_ops_symbols (117 samples, 0.04%)_dl_map_segments (168 samples, 0.06%)_dl_map_object_from_fd (222 samples, 0.07%)do_syscall_64 (48 samples, 0.02%)unload_network_ops_symbols (48 samples, 0.02%)__GI___open64_nocancel (50 samples, 0.02%)entry_SYSCALL_64_after_hwframe (50 samples, 0.02%)open_verify (62 samples, 0.02%)_dl_catch_exception (401 samples, 0.13%)openaux (401 samples, 0.13%)_dl_map_object (401 samples, 0.13%)_dl_map_object_deps (407 samples, 0.13%)__x64_sys_mprotect (49 samples, 0.02%)do_mprotect_pkey (49 samples, 0.02%)mprotect_fixup (47 samples, 0.02%)_dl_protect_relro (51 samples, 0.02%)__mprotect (51 samples, 0.02%)entry_SYSCALL_64_after_hwframe (50 samples, 0.02%)do_syscall_64 (50 samples, 0.02%)dl_new_hash (115 samples, 0.04%)check_match (48 samples, 0.02%)_dl_lookup_symbol_x (468 samples, 0.15%)do_lookup_x (321 samples, 0.11%)__do_fault (32 samples, 0.01%)clear_page_erms (41 samples, 0.01%)get_page_from_freelist (71 samples, 0.02%)__alloc_pages (80 samples, 0.03%)alloc_pages_vma (88 samples, 0.03%)copy_page (84 samples, 0.03%)do_set_pte (47 samples, 0.02%)finish_fault (58 samples, 0.02%)do_fault (326 samples, 0.11%)handle_pte_fault (336 samples, 0.11%)__handle_mm_fault (354 samples, 0.12%)handle_mm_fault (363 samples, 0.12%)do_user_addr_fault (376 samples, 0.12%)exc_page_fault (394 samples, 0.13%)asm_exc_page_fault (401 samples, 0.13%)elf_machine_rela (1,041 samples, 0.34%)elf_dynamic_do_Rela (1,128 samples, 0.37%)_dl_relocate_object (1,192 samples, 0.39%)dl_main (1,707 samples, 0.56%)_dl_start_final (1,723 samples, 0.57%)_dl_sysdep_start (1,719 samples, 0.57%)_dl_start (1,730 samples, 0.57%)_start (4,518 samples, 1.49%)asm_exc_page_fault (348 samples, 0.11%)__x64_sys_execve (39 samples, 0.01%)do_execveat_common.isra.0 (39 samples, 0.01%)bprm_execve (39 samples, 0.01%)bprm_execve.part.0 (39 samples, 0.01%)exec_binprm (39 samples, 0.01%)search_binary_handler (39 samples, 0.01%)load_elf_binary (39 samples, 0.01%)free_pages_and_swap_cache (84 samples, 0.03%)release_pages (82 samples, 0.03%)tlb_finish_mmu (103 samples, 0.03%)mark_page_accessed (43 samples, 0.01%)lock_page_memcg (32 samples, 0.01%)__mod_memcg_lruvec_state (40 samples, 0.01%)__mod_lruvec_state (63 samples, 0.02%)__mod_lruvec_page_state (86 samples, 0.03%)page_remove_file_rmap (177 samples, 0.06%)page_remove_rmap (307 samples, 0.10%)free_pages_and_swap_cache (98 samples, 0.03%)release_pages (91 samples, 0.03%)tlb_flush_mmu (146 samples, 0.05%)free_swap_cache (47 samples, 0.02%)unmap_single_vma (903 samples, 0.30%)unmap_page_range (903 samples, 0.30%)zap_pmd_range.isra.0 (901 samples, 0.30%)zap_pte_range (874 samples, 0.29%)exit_mm (1,039 samples, 0.34%)mmput (1,039 samples, 0.34%)exit_mmap (1,039 samples, 0.34%)unmap_vmas (904 samples, 0.30%)__x64_sys_exit_group (1,060 samples, 0.35%)do_group_exit (1,060 samples, 0.35%)do_exit (1,060 samples, 0.35%)entry_SYSCALL_64_after_hwframe (1,100 samples, 0.36%)do_syscall_64 (1,100 samples, 0.36%)clang (13,082 samples, 4.30%)clang[perf-720743.map] (404 samples, 0.13%)find-action-loo (444 samples, 0.15%)[perf-720743.map] (38 samples, 0.01%)globbing_pool-0 (46 samples, 0.02%)[libunix_jni.so] (75 samples, 0.02%)finish_task_switch.isra.0 (39 samples, 0.01%)__perf_event_task_sched_in (39 samples, 0.01%)x86_pmu_enable (38 samples, 0.01%)intel_pmu_enable_all (38 samples, 0.01%)native_write_msr (38 samples, 0.01%)__x64_sys_futex (42 samples, 0.01%)do_futex (41 samples, 0.01%)futex_wait (41 samples, 0.01%)futex_wait_queue_me (40 samples, 0.01%)schedule (40 samples, 0.01%)__schedule (40 samples, 0.01%)___pthread_cond_wait (43 samples, 0.01%)__pthread_cond_wait_common (43 samples, 0.01%)__GI___futex_abstimed_wait_cancelable64 (43 samples, 0.01%)__futex_abstimed_wait_common (43 samples, 0.01%)__futex_abstimed_wait_common64 (43 samples, 0.01%)entry_SYSCALL_64_after_hwframe (43 samples, 0.01%)do_syscall_64 (43 samples, 0.01%)Unsafe_Park (44 samples, 0.01%)Parker::park (44 samples, 0.01%)[perf-720743.map] (342 samples, 0.11%)schedule_tail (55 samples, 0.02%)finish_task_switch.isra.0 (55 samples, 0.02%)__perf_event_task_sched_in (54 samples, 0.02%)x86_pmu_enable (53 samples, 0.02%)intel_pmu_enable_all (52 samples, 0.02%)native_write_msr (52 samples, 0.02%)globbing_pool-1 (536 samples, 0.18%)ret_from_fork (57 samples, 0.02%)[libunix_jni.so] (66 samples, 0.02%)[perf-720743.map] (287 samples, 0.09%)__perf_event_task_sched_in (35 samples, 0.01%)x86_pmu_enable (34 samples, 0.01%)intel_pmu_enable_all (34 samples, 0.01%)native_write_msr (34 samples, 0.01%)globbing_pool-2 (426 samples, 0.14%)ret_from_fork (36 samples, 0.01%)schedule_tail (36 samples, 0.01%)finish_task_switch.isra.0 (36 samples, 0.01%)__opendir (40 samples, 0.01%)[libunix_jni.so] (85 samples, 0.03%)___pthread_cond_wait (31 samples, 0.01%)__pthread_cond_wait_common (31 samples, 0.01%)Unsafe_Park (34 samples, 0.01%)Parker::park (33 samples, 0.01%)[perf-720743.map] (249 samples, 0.08%)globbing_pool-3 (362 samples, 0.12%)[libunix_jni.so] (45 samples, 0.01%)Unsafe_Park (32 samples, 0.01%)Parker::park (32 samples, 0.01%)[perf-720743.map] (226 samples, 0.07%)__free_one_page (37 samples, 0.01%)free_unref_page_list (83 samples, 0.03%)free_unref_page_commit.constprop.0 (71 samples, 0.02%)free_pcppages_bulk (60 samples, 0.02%)free_pages_and_swap_cache (144 samples, 0.05%)release_pages (142 samples, 0.05%)tlb_flush_mmu (151 samples, 0.05%)entry_SYSCALL_64_after_hwframe (232 samples, 0.08%)do_syscall_64 (232 samples, 0.08%)syscall_exit_to_user_mode (232 samples, 0.08%)exit_to_user_mode_prepare (232 samples, 0.08%)exit_to_user_mode_loop (232 samples, 0.08%)arch_do_signal_or_restart (232 samples, 0.08%)get_signal (232 samples, 0.08%)do_group_exit (232 samples, 0.08%)do_exit (232 samples, 0.08%)exit_mm (232 samples, 0.08%)mmput (232 samples, 0.08%)exit_mmap (232 samples, 0.08%)unmap_vmas (230 samples, 0.08%)unmap_single_vma (229 samples, 0.08%)unmap_page_range (229 samples, 0.08%)zap_pmd_range.isra.0 (229 samples, 0.08%)zap_pte_range (228 samples, 0.07%)schedule_tail (35 samples, 0.01%)finish_task_switch.isra.0 (35 samples, 0.01%)__perf_event_task_sched_in (35 samples, 0.01%)x86_pmu_enable (35 samples, 0.01%)intel_pmu_enable_all (35 samples, 0.01%)native_write_msr (35 samples, 0.01%)ret_from_fork (36 samples, 0.01%)globbing_pool-4 (573 samples, 0.19%)[libunix_jni.so] (56 samples, 0.02%)__x64_sys_futex (32 samples, 0.01%)do_futex (32 samples, 0.01%)futex_wait (32 samples, 0.01%)entry_SYSCALL_64_after_hwframe (34 samples, 0.01%)do_syscall_64 (34 samples, 0.01%)___pthread_cond_wait (36 samples, 0.01%)__pthread_cond_wait_common (36 samples, 0.01%)__GI___futex_abstimed_wait_cancelable64 (36 samples, 0.01%)__futex_abstimed_wait_common (36 samples, 0.01%)__futex_abstimed_wait_common64 (36 samples, 0.01%)Parker::park (38 samples, 0.01%)Unsafe_Park (39 samples, 0.01%)do_user_addr_fault (31 samples, 0.01%)asm_exc_page_fault (33 samples, 0.01%)exc_page_fault (33 samples, 0.01%)[perf-720743.map] (310 samples, 0.10%)globbing_pool-5 (420 samples, 0.14%)[libunix_jni.so] (66 samples, 0.02%)Unsafe_Park (34 samples, 0.01%)Parker::park (33 samples, 0.01%)___pthread_cond_wait (32 samples, 0.01%)__pthread_cond_wait_common (31 samples, 0.01%)__GI___futex_abstimed_wait_cancelable64 (31 samples, 0.01%)__futex_abstimed_wait_common (31 samples, 0.01%)__futex_abstimed_wait_common64 (31 samples, 0.01%)entry_SYSCALL_64_after_hwframe (31 samples, 0.01%)do_syscall_64 (31 samples, 0.01%)do_user_addr_fault (33 samples, 0.01%)asm_exc_page_fault (36 samples, 0.01%)exc_page_fault (36 samples, 0.01%)[perf-720743.map] (296 samples, 0.10%)ThreadSafepointState::handle_polling_page_exception (31 samples, 0.01%)SafepointSynchronize::block (31 samples, 0.01%)[unknown] (34 samples, 0.01%)globbing_pool-6 (416 samples, 0.14%)[libunix_jni.so] (45 samples, 0.01%)[perf-720743.map] (205 samples, 0.07%)[unknown] (34 samples, 0.01%)ThreadSafepointState::handle_polling_page_exception (31 samples, 0.01%)SafepointSynchronize::block (31 samples, 0.01%)Monitor::lock_without_safepoint_check (31 samples, 0.01%)globbing_pool-7 (305 samples, 0.10%)[libunix_jni.so] (57 samples, 0.02%)[perf-720743.map] (175 samples, 0.06%)globbing_pool-8 (294 samples, 0.10%)[libunix_jni.so] (36 samples, 0.01%)[perf-720743.map] (103 samples, 0.03%)[unknown] (33 samples, 0.01%)ThreadSafepointState::handle_polling_page_exception (31 samples, 0.01%)SafepointSynchronize::block (31 samples, 0.01%)globbing_pool-9 (178 samples, 0.06%)[anon] (261 samples, 0.09%)[libunix_jni.so] (45 samples, 0.01%)ClassFileParser::parse_constant_pool_entries (36 samples, 0.01%)SymbolTable::lookup_only (31 samples, 0.01%)ClassFileParser::parse_constant_pool (37 samples, 0.01%)ClassFileParser::ClassFileParser (58 samples, 0.02%)ClassFileParser::parse_stream (58 samples, 0.02%)ClassLoader::load_class (114 samples, 0.04%)KlassFactory::create_from_stream (101 samples, 0.03%)ConstantPool::klass_at_impl (138 samples, 0.05%)SystemDictionary::resolve_or_fail (136 samples, 0.04%)SystemDictionary::resolve_instance_class_or_null (132 samples, 0.04%)SystemDictionary::load_instance_class (125 samples, 0.04%)AdapterHandlerLibrary::get_adapter0 (31 samples, 0.01%)InstanceKlass::link_methods (39 samples, 0.01%)Method::link_method (38 samples, 0.01%)AdapterHandlerLibrary::get_adapter (34 samples, 0.01%)Rewriter::rewrite (61 samples, 0.02%)Rewriter::Rewriter (61 samples, 0.02%)Rewriter::rewrite_bytecodes (48 samples, 0.02%)InstanceKlass::link_class_impl (150 samples, 0.05%)InstanceKlass::initialize_impl (156 samples, 0.05%)InterpreterRuntime::_new (299 samples, 0.10%)InterpreterRuntime::anewarray (32 samples, 0.01%)TieredThresholdPolicy::event (50 samples, 0.02%)TieredThresholdPolicy::method_invocation_event (47 samples, 0.02%)TieredThresholdPolicy::compile (31 samples, 0.01%)TieredThresholdPolicy::submit_compile (31 samples, 0.01%)InterpreterRuntime::frequency_counter_overflow (58 samples, 0.02%)InterpreterRuntime::frequency_counter_overflow_inner (57 samples, 0.02%)InstanceKlass::initialize_impl (36 samples, 0.01%)InstanceKlass::link_class_impl (35 samples, 0.01%)LinkResolver::resolve_field_access (77 samples, 0.03%)LinkResolver::resolve_field (54 samples, 0.02%)InterpreterRuntime::resolve_get_put (89 samples, 0.03%)ClassFileParser::ClassFileParser (31 samples, 0.01%)ClassFileParser::parse_stream (31 samples, 0.01%)ClassLoader::load_class (47 samples, 0.02%)KlassFactory::create_from_stream (40 samples, 0.01%)SystemDictionary::load_instance_class (55 samples, 0.02%)ConstantPool::klass_ref_at (74 samples, 0.02%)SystemDictionary::resolve_or_fail (66 samples, 0.02%)SystemDictionary::resolve_instance_class_or_null (64 samples, 0.02%)LinkResolver::resolve_invokevirtual (41 samples, 0.01%)Rewriter::rewrite (35 samples, 0.01%)Rewriter::Rewriter (35 samples, 0.01%)InstanceKlass::initialize_impl (73 samples, 0.02%)InstanceKlass::link_class_impl (69 samples, 0.02%)LinkResolver::resolve_static_call (106 samples, 0.03%)LinkResolver::resolve_invoke (259 samples, 0.09%)InterpreterRuntime::resolve_invoke (307 samples, 0.10%)ConstantPool::resolve_bootstrap_specifier_at_impl (38 samples, 0.01%)InterpreterRuntime::resolve_invokedynamic (48 samples, 0.02%)LinkResolver::resolve_invoke (47 samples, 0.02%)LinkResolver::resolve_invokedynamic (47 samples, 0.02%)InterpreterRuntime::resolve_from_cache (457 samples, 0.15%)Bytecode_loadconstant::resolve_constant (35 samples, 0.01%)ConstantPool::resolve_constant_at_impl (34 samples, 0.01%)InterpreterRuntime::resolve_ldc (42 samples, 0.01%)JVM_FindLoadedClass (33 samples, 0.01%)JVM_GetClassDeclaredMethods (35 samples, 0.01%)get_class_declared_methods_helper (34 samples, 0.01%)SymbolTable::add (73 samples, 0.02%)SymbolTable::basic_add (73 samples, 0.02%)SymbolTable::lookup_only (452 samples, 0.15%)ClassFileParser::parse_constant_pool_entries (557 samples, 0.18%)ClassFileParser::parse_constant_pool (578 samples, 0.19%)Method::allocate (32 samples, 0.01%)ClassFileParser::parse_method (162 samples, 0.05%)ClassFileParser::parse_methods (165 samples, 0.05%)ClassFileParser::ClassFileParser (791 samples, 0.26%)ClassFileParser::parse_stream (791 samples, 0.26%)InstanceKlass::find_method (31 samples, 0.01%)HierarchyVisitor<FindMethodsByErasedSig>::run (95 samples, 0.03%)resource_allocate_bytes (37 samples, 0.01%)DefaultMethods::generate_default_methods (131 samples, 0.04%)ClassFileParser::fill_instance_klass (167 samples, 0.05%)ClassFileParser::create_instance_klass (174 samples, 0.06%)KlassFactory::create_from_stream (1,012 samples, 0.33%)ClassFileParser::post_process_parsed_stream (44 samples, 0.01%)JVM_DefineClassWithSource (1,063 samples, 0.35%)jvm_define_class_common (1,061 samples, 0.35%)SystemDictionary::resolve_from_stream (1,048 samples, 0.34%)Java_java_lang_ClassLoader_defineClass1 (1,118 samples, 0.37%)SystemDictionary::resolve_or_null (52 samples, 0.02%)SystemDictionary::resolve_instance_class_or_null (52 samples, 0.02%)SystemDictionary::load_instance_class (48 samples, 0.02%)JVM_FindClassFromBootLoader (57 samples, 0.02%)Java_java_lang_ClassLoader_findBootstrapClass (68 samples, 0.02%)MHN_resolve_Mem (35 samples, 0.01%)MethodHandles::resolve_MemberName (35 samples, 0.01%)KlassFactory::create_from_stream (52 samples, 0.02%)SystemDictionary::parse_stream (78 samples, 0.03%)Unsafe_DefineAnonymousClass0 (81 samples, 0.03%)do_user_addr_fault (38 samples, 0.01%)handle_mm_fault (31 samples, 0.01%)asm_exc_page_fault (41 samples, 0.01%)exc_page_fault (41 samples, 0.01%)[perf-720743.map] (4,757 samples, 1.56%)SharedRuntime::resolve_sub_helper (43 samples, 0.01%)btrfs_file_read_iter (41 samples, 0.01%)filemap_read (41 samples, 0.01%)new_sync_read (45 samples, 0.01%)__x64_sys_read (59 samples, 0.02%)ksys_read (58 samples, 0.02%)vfs_read (53 samples, 0.02%)entry_SYSCALL_64_after_hwframe (65 samples, 0.02%)do_syscall_64 (65 samples, 0.02%)unload_network_ops_symbols (62 samples, 0.02%)handleRead (71 samples, 0.02%)__GI___libc_read (70 samples, 0.02%)__GI___libc_read (70 samples, 0.02%)readBytes (93 samples, 0.03%)[unknown] (190 samples, 0.06%)__perf_event_task_sched_in (42 samples, 0.01%)x86_pmu_enable (42 samples, 0.01%)intel_pmu_enable_all (41 samples, 0.01%)native_write_msr (41 samples, 0.01%)schedule_tail (45 samples, 0.01%)finish_task_switch.isra.0 (45 samples, 0.01%)ret_from_fork (47 samples, 0.02%)SystemDictionary::initialize_preloaded_classes (31 samples, 0.01%)universe2_init (32 samples, 0.01%)Universe::genesis (32 samples, 0.01%)init_globals (59 samples, 0.02%)JNI_CreateJavaVM (76 samples, 0.02%)Threads::create_vm (76 samples, 0.02%)JavaMain (77 samples, 0.03%)__clone3 (156 samples, 0.05%)start_thread (95 samples, 0.03%)schedule_tail (32 samples, 0.01%)finish_task_switch.isra.0 (32 samples, 0.01%)__perf_event_task_sched_in (31 samples, 0.01%)ret_from_fork (33 samples, 0.01%)java (5,493 samples, 1.81%)j..[[heap]] (106 samples, 0.03%)[[stack]] (64 samples, 0.02%)__libc_open64 (42 samples, 0.01%)entry_SYSCALL_64_after_hwframe (42 samples, 0.01%)do_syscall_64 (42 samples, 0.01%)unload_network_ops_symbols (41 samples, 0.01%)llvm::sys::fs::openFile (46 samples, 0.02%)lld::elf::LinkerDriver::addFile (47 samples, 0.02%)lld::elf::readFile (47 samples, 0.02%)llvm::MemoryBuffer::getFile (47 samples, 0.02%)getFileAux<llvm::MemoryBuffer> (47 samples, 0.02%)llvm::sys::fs::openNativeFileForRead (47 samples, 0.02%)llvm::sys::fs::openFileForRead (47 samples, 0.02%)lldMain (116 samples, 0.04%)lld::elf::link (116 samples, 0.04%)lld::elf::LinkerDriver::linkerMain (116 samples, 0.04%)lld::elf::LinkerDriver::createFiles (108 samples, 0.04%)lld::elf::LinkerDriver::addLibrary (61 samples, 0.02%)lld::elf::LinkerDriver::addFile (61 samples, 0.02%)lld::elf::readLinkerScript (32 samples, 0.01%)lld::elf::LinkerDriver::addFile (32 samples, 0.01%)lld::elf::readFile (32 samples, 0.01%)llvm::MemoryBuffer::getFile (32 samples, 0.01%)getFileAux<llvm::MemoryBuffer> (32 samples, 0.01%)llvm::sys::fs::openNativeFileForRead (32 samples, 0.01%)llvm::sys::fs::openFileForRead (32 samples, 0.01%)llvm::sys::fs::openFile (32 samples, 0.01%)__libc_open64 (32 samples, 0.01%)entry_SYSCALL_64_after_hwframe (32 samples, 0.01%)do_syscall_64 (32 samples, 0.01%)unload_network_ops_symbols (32 samples, 0.01%)llvm::DenseMapBase<llvm::DenseMap<llvm::CachedHashStringRef, int, llvm::DenseMapInfo<llvm::CachedHashStringRef, void>, llvm::detail::DenseMapPair<llvm::CachedHashStringRef, int> >, llvm::CachedHashStringRef, int, llvm::DenseMapInfo<llvm::CachedHashStringRef, void>, llvm::detail::DenseMapPair<llvm::CachedHashStringRef, int> >::LookupBucketFor<llvm::CachedHashStringRef> (33 samples, 0.01%)[unknown] (384 samples, 0.13%)inherit_event.constprop.0 (33 samples, 0.01%)inherit_task_group.isra.0 (37 samples, 0.01%)perf_event_init_context (40 samples, 0.01%)perf_event_init_task (41 samples, 0.01%)copy_process (78 samples, 0.03%)__x64_sys_clone3 (101 samples, 0.03%)__do_sys_clone3 (101 samples, 0.03%)kernel_clone (99 samples, 0.03%)entry_SYSCALL_64_after_hwframe (102 samples, 0.03%)do_syscall_64 (102 samples, 0.03%)__perf_event_task_sched_in (36 samples, 0.01%)x86_pmu_enable (36 samples, 0.01%)intel_pmu_enable_all (36 samples, 0.01%)native_write_msr (36 samples, 0.01%)finish_task_switch.isra.0 (38 samples, 0.01%)futex_wait_queue_me (46 samples, 0.02%)schedule (46 samples, 0.02%)__schedule (46 samples, 0.02%)__x64_sys_futex (53 samples, 0.02%)do_futex (52 samples, 0.02%)futex_wait (52 samples, 0.02%)__GI___lll_lock_wait (62 samples, 0.02%)futex_wait (59 samples, 0.02%)entry_SYSCALL_64_after_hwframe (57 samples, 0.02%)do_syscall_64 (57 samples, 0.02%)___pthread_mutex_lock (67 samples, 0.02%)lll_mutex_lock_optimized (66 samples, 0.02%)futex_wake (35 samples, 0.01%)__x64_sys_futex (39 samples, 0.01%)do_futex (39 samples, 0.01%)___pthread_mutex_unlock (61 samples, 0.02%)__GI___pthread_mutex_unlock_usercnt (61 samples, 0.02%)lll_mutex_unlock_optimized (58 samples, 0.02%)__GI___lll_lock_wake (55 samples, 0.02%)entry_SYSCALL_64_after_hwframe (53 samples, 0.02%)do_syscall_64 (52 samples, 0.02%)operator new (40 samples, 0.01%)__GI___libc_malloc (38 samples, 0.01%)std::_Function_base::_Base_manager<llvm::parallel::detail::TaskGroup::spawn(std::function<void ()>)::$_0>::_M_manager (87 samples, 0.03%)std::_Function_handler<void (), llvm::parallelForEachN(unsigned long, unsigned long, llvm::function_ref<void (unsigned long)>)::$_1>::_M_invoke (72 samples, 0.02%)llvm::function_ref<void (unsigned long)>::callback_fn<llvm::parallelForEach<lld::elf::Symbol* const*, (anonymous namespace)::Writer<llvm::object::ELFType<(llvm::support::endianness)1, true> >::finalizeSections()::{lambda(lld::elf::Symbol*)#1}>(lld::elf::Symbol* const*, lld::elf::Symbol* const*, (anonymous namespace)::Writer<llvm::object::ELFType<(llvm::support::endianness)1, true> >::finalizeSections()::{lambda(lld::elf::Symbol*)#1})::{lambda(unsigned long)#1}> (57 samples, 0.02%)lld::elf::computeIsPreemptible (44 samples, 0.01%)std::_Function_handler<void (), llvm::parallel::detail::TaskGroup::spawn(std::function<void ()>)::$_0>::_M_invoke (101 samples, 0.03%)dequeue_task_fair (35 samples, 0.01%)dequeue_task (41 samples, 0.01%)__perf_event_task_sched_in (430 samples, 0.14%)x86_pmu_enable (427 samples, 0.14%)intel_pmu_enable_all (425 samples, 0.14%)native_write_msr (423 samples, 0.14%)finish_task_switch.isra.0 (456 samples, 0.15%)psi_task_switch (32 samples, 0.01%)__schedule (601 samples, 0.20%)futex_wait_queue_me (618 samples, 0.20%)schedule (605 samples, 0.20%)__x64_sys_futex (641 samples, 0.21%)do_futex (635 samples, 0.21%)futex_wait (634 samples, 0.21%)exit_to_user_mode_prepare (39 samples, 0.01%)do_syscall_64 (684 samples, 0.22%)syscall_exit_to_user_mode (42 samples, 0.01%)entry_SYSCALL_64_after_hwframe (687 samples, 0.23%)__GI___futex_abstimed_wait_cancelable64 (709 samples, 0.23%)__futex_abstimed_wait_common (709 samples, 0.23%)__futex_abstimed_wait_common64 (709 samples, 0.23%)finish_task_switch.isra.0 (39 samples, 0.01%)__perf_event_task_sched_in (37 samples, 0.01%)x86_pmu_enable (37 samples, 0.01%)intel_pmu_enable_all (37 samples, 0.01%)native_write_msr (37 samples, 0.01%)entry_SYSCALL_64_after_hwframe (72 samples, 0.02%)do_syscall_64 (72 samples, 0.02%)syscall_exit_to_user_mode (45 samples, 0.01%)exit_to_user_mode_prepare (45 samples, 0.01%)exit_to_user_mode_loop (45 samples, 0.01%)schedule (41 samples, 0.01%)__schedule (41 samples, 0.01%)__condvar_dec_grefs (77 samples, 0.03%)futex_wake (74 samples, 0.02%)std::condition_variable::wait (830 samples, 0.27%)___pthread_cond_wait (827 samples, 0.27%)__pthread_cond_wait_common (827 samples, 0.27%)llvm::parallel::detail::(anonymous namespace)::ThreadPoolExecutor::work (1,159 samples, 0.38%)___pthread_mutex_lock (34 samples, 0.01%)lll_mutex_lock_optimized (31 samples, 0.01%)___pthread_mutex_unlock (38 samples, 0.01%)__GI___pthread_mutex_unlock_usercnt (38 samples, 0.01%)lll_mutex_unlock_optimized (36 samples, 0.01%)std::_Function_handler<void (), llvm::parallel::detail::TaskGroup::spawn(std::function<void ()>)::$_0>::_M_invoke (51 samples, 0.02%)std::_Function_handler<void (), llvm::parallelForEachN(unsigned long, unsigned long, llvm::function_ref<void (unsigned long)>)::$_1>::_M_invoke (37 samples, 0.01%)__perf_event_task_sched_in (103 samples, 0.03%)x86_pmu_enable (101 samples, 0.03%)intel_pmu_enable_all (99 samples, 0.03%)native_write_msr (99 samples, 0.03%)finish_task_switch.isra.0 (110 samples, 0.04%)futex_wait_queue_me (140 samples, 0.05%)schedule (138 samples, 0.05%)__schedule (138 samples, 0.05%)__x64_sys_futex (147 samples, 0.05%)do_futex (146 samples, 0.05%)futex_wait (146 samples, 0.05%)entry_SYSCALL_64_after_hwframe (156 samples, 0.05%)do_syscall_64 (156 samples, 0.05%)__GI___futex_abstimed_wait_cancelable64 (164 samples, 0.05%)__futex_abstimed_wait_common (164 samples, 0.05%)__futex_abstimed_wait_common64 (164 samples, 0.05%)llvm::parallel::detail::(anonymous namespace)::ThreadPoolExecutor::work (337 samples, 0.11%)std::condition_variable::wait (181 samples, 0.06%)___pthread_cond_wait (180 samples, 0.06%)__pthread_cond_wait_common (180 samples, 0.06%)[libstdc++.so.6.0.30] (1,551 samples, 0.51%)std::thread::_State_impl<std::thread::_Invoker<std::tuple<llvm::parallel::detail::(anonymous namespace)::ThreadPoolExecutor::ThreadPoolExecutor(llvm::ThreadPoolStrategy)::{lambda()#1}> > >::_M_run (389 samples, 0.13%)std::thread::_M_start_thread (38 samples, 0.01%)__pthread_create_2_1 (38 samples, 0.01%)allocate_stack (38 samples, 0.01%)__clone3 (1,681 samples, 0.55%)start_thread (1,577 samples, 0.52%)llvm::initializeAAResultsWrapperPassPass (34 samples, 0.01%)__pthread_once_slow (33 samples, 0.01%)initializeAAResultsWrapperPassPassOnce (32 samples, 0.01%)llvm::initializeDependenceInfoPass (39 samples, 0.01%)__pthread_once_slow (38 samples, 0.01%)initializeDependenceInfoPassOnce (38 samples, 0.01%)llvm::initializeScopInfoRegionPassPass (38 samples, 0.01%)__pthread_once_slow (38 samples, 0.01%)initializeScopInfoRegionPassPassOnce (38 samples, 0.01%)__pthread_once_slow (40 samples, 0.01%)initializeCodeGenerationPassOnce (40 samples, 0.01%)llvm::initializeCodeGenerationPass (42 samples, 0.01%)_GLOBAL__sub_I_RegisterPasses.cpp (61 samples, 0.02%)polly::initializePollyPasses (50 samples, 0.02%)__libc_csu_init (832 samples, 0.27%)lld::elf::LinkerDriver::addFile (34 samples, 0.01%)lld::elf::LinkerDriver::addFile (49 samples, 0.02%)llvm::sys::fs::equivalent (31 samples, 0.01%)lld::elf::readLinkerScript (107 samples, 0.04%)lld::elf::LinkerDriver::addFile (138 samples, 0.05%)__GI___access (48 samples, 0.02%)entry_SYSCALL_64_after_hwframe (45 samples, 0.01%)do_syscall_64 (45 samples, 0.01%)__x64_sys_access (45 samples, 0.01%)do_faccessat (45 samples, 0.01%)llvm::sys::fs::access (53 samples, 0.02%)lld::elf::LinkerDriver::createFiles (238 samples, 0.08%)lld::elf::LinkerDriver::addLibrary (202 samples, 0.07%)lld::elf::searchLibrary[abi:cxx11] (64 samples, 0.02%)lld::elf::searchLibraryBaseName[abi:cxx11] (60 samples, 0.02%)findFile[abi:cxx11] (60 samples, 0.02%)lld::make<lld::elf::SymbolUnion> (35 samples, 0.01%)llvm::DenseMapBase<llvm::DenseMap<llvm::CachedHashStringRef, int, llvm::DenseMapInfo<llvm::CachedHashStringRef, void>, llvm::detail::DenseMapPair<llvm::CachedHashStringRef, int> >, llvm::CachedHashStringRef, int, llvm::DenseMapInfo<llvm::CachedHashStringRef, void>, llvm::detail::DenseMapPair<llvm::CachedHashStringRef, int> >::InsertIntoBucketImpl<llvm::CachedHashStringRef> (91 samples, 0.03%)llvm::DenseMap<llvm::CachedHashStringRef, int, llvm::DenseMapInfo<llvm::CachedHashStringRef, void>, llvm::detail::DenseMapPair<llvm::CachedHashStringRef, int> >::grow (86 samples, 0.03%)llvm::DenseMapBase<llvm::DenseMap<llvm::CachedHashStringRef, int, llvm::DenseMapInfo<llvm::CachedHashStringRef, void>, llvm::detail::DenseMapPair<llvm::CachedHashStringRef, int> >, llvm::CachedHashStringRef, int, llvm::DenseMapInfo<llvm::CachedHashStringRef, void>, llvm::detail::DenseMapPair<llvm::CachedHashStringRef, int> >::LookupBucketFor<llvm::CachedHashStringRef> (45 samples, 0.01%)lld::elf::SymbolTable::addSymbol (265 samples, 0.09%)lld::elf::SymbolTable::insert (242 samples, 0.08%)lld::elf::ArchiveFile::parse (322 samples, 0.11%)lld::elf::OutputSection::finalizeInputSections (40 samples, 0.01%)lld::elf::MergeNoTailSection::finalizeContents (39 samples, 0.01%)llvm::parallelForEachN (36 samples, 0.01%)llvm::DenseMapBase<llvm::DenseMap<llvm::CachedHashStringRef, int, llvm::DenseMapInfo<llvm::CachedHashStringRef, void>, llvm::detail::DenseMapPair<llvm::CachedHashStringRef, int> >, llvm::CachedHashStringRef, int, llvm::DenseMapInfo<llvm::CachedHashStringRef, void>, llvm::detail::DenseMapPair<llvm::CachedHashStringRef, int> >::LookupBucketFor<llvm::CachedHashStringRef> (76 samples, 0.02%)lld::elf::SymbolTable::find (90 samples, 0.03%)lld::make<lld::elf::SymbolUnion> (77 samples, 0.03%)operator new (39 samples, 0.01%)__GI___libc_malloc (39 samples, 0.01%)_int_malloc (39 samples, 0.01%)do_anonymous_page (34 samples, 0.01%)__handle_mm_fault (39 samples, 0.01%)handle_pte_fault (37 samples, 0.01%)handle_mm_fault (41 samples, 0.01%)do_user_addr_fault (46 samples, 0.02%)asm_exc_page_fault (49 samples, 0.02%)exc_page_fault (49 samples, 0.02%)llvm::DenseMapBase<llvm::DenseMap<llvm::CachedHashStringRef, int, llvm::DenseMapInfo<llvm::CachedHashStringRef, void>, llvm::detail::DenseMapPair<llvm::CachedHashStringRef, int> >, llvm::CachedHashStringRef, int, llvm::DenseMapInfo<llvm::CachedHashStringRef, void>, llvm::detail::DenseMapPair<llvm::CachedHashStringRef, int> >::LookupBucketFor<llvm::CachedHashStringRef> (40 samples, 0.01%)llvm::DenseMapBase<llvm::DenseMap<llvm::CachedHashStringRef, int, llvm::DenseMapInfo<llvm::CachedHashStringRef, void>, llvm::detail::DenseMapPair<llvm::CachedHashStringRef, int> >, llvm::CachedHashStringRef, int, llvm::DenseMapInfo<llvm::CachedHashStringRef, void>, llvm::detail::DenseMapPair<llvm::CachedHashStringRef, int> >::InsertIntoBucketImpl<llvm::CachedHashStringRef> (140 samples, 0.05%)llvm::DenseMap<llvm::CachedHashStringRef, int, llvm::DenseMapInfo<llvm::CachedHashStringRef, void>, llvm::detail::DenseMapPair<llvm::CachedHashStringRef, int> >::grow (135 samples, 0.04%)llvm::DenseMapBase<llvm::DenseMap<llvm::CachedHashStringRef, int, llvm::DenseMapInfo<llvm::CachedHashStringRef, void>, llvm::detail::DenseMapPair<llvm::CachedHashStringRef, int> >, llvm::CachedHashStringRef, int, llvm::DenseMapInfo<llvm::CachedHashStringRef, void>, llvm::detail::DenseMapPair<llvm::CachedHashStringRef, int> >::LookupBucketFor<llvm::CachedHashStringRef> (125 samples, 0.04%)lld::elf::SymbolTable::addSymbol (472 samples, 0.16%)lld::elf::SymbolTable::insert (437 samples, 0.14%)llvm::Twine::printOneChild (41 samples, 0.01%)llvm::Twine::toVector (84 samples, 0.03%)lld::elf::SharedFile::parse<llvm::object::ELFType<(llvm::support::endianness)1, true> > (678 samples, 0.22%)lld::elf::parseFile (696 samples, 0.23%)lld::elf::splitSections<llvm::object::ELFType<(llvm::support::endianness)1, true> > (48 samples, 0.02%)llvm::parallelForEachN (48 samples, 0.02%)llvm::parallel::detail::TaskGroup::~TaskGroup (31 samples, 0.01%)llvm::function_ref<void (unsigned long)>::callback_fn<lld::elf::OutputSection::writeTo<llvm::object::ELFType<(llvm::support::endianness)1, true> >(unsigned char*)::{lambda(unsigned long)#2}> (51 samples, 0.02%)lld::elf::OutputSection::writeTo<llvm::object::ELFType<(llvm::support::endianness)1, true> > (90 samples, 0.03%)llvm::parallelForEachN (87 samples, 0.03%)lld::elf::postScanRelocations (31 samples, 0.01%)llvm::FileOutputBuffer::create (49 samples, 0.02%)__perf_event_task_sched_in (54 samples, 0.02%)x86_pmu_enable (53 samples, 0.02%)intel_pmu_enable_all (52 samples, 0.02%)native_write_msr (51 samples, 0.02%)finish_task_switch.isra.0 (58 samples, 0.02%)__x64_sys_futex (85 samples, 0.03%)do_futex (84 samples, 0.03%)futex_wait (84 samples, 0.03%)futex_wait_queue_me (84 samples, 0.03%)schedule (82 samples, 0.03%)__schedule (81 samples, 0.03%)__condvar_quiesce_and_switch_g1 (91 samples, 0.03%)futex_wait_simple (91 samples, 0.03%)futex_wait (91 samples, 0.03%)entry_SYSCALL_64_after_hwframe (90 samples, 0.03%)do_syscall_64 (89 samples, 0.03%)enqueue_task_fair (50 samples, 0.02%)enqueue_task (78 samples, 0.03%)ttwu_do_activate (89 samples, 0.03%)__x64_sys_futex (182 samples, 0.06%)do_futex (180 samples, 0.06%)futex_wake (179 samples, 0.06%)wake_up_q (166 samples, 0.05%)try_to_wake_up (164 samples, 0.05%)do_syscall_64 (210 samples, 0.07%)entry_SYSCALL_64_after_hwframe (214 samples, 0.07%)___pthread_cond_signal (316 samples, 0.10%)futex_wake (220 samples, 0.07%)___pthread_mutex_lock (34 samples, 0.01%)lll_mutex_lock_optimized (31 samples, 0.01%)llvm::parallel::detail::(anonymous namespace)::ThreadPoolExecutor::add (110 samples, 0.04%)std::deque<std::function<void ()>, std::allocator<std::function<void ()> > >::push_back (50 samples, 0.02%)std::_Function_base::_Base_manager<llvm::parallel::detail::TaskGroup::spawn(std::function<void ()>)::$_0>::_M_manager (38 samples, 0.01%)llvm::parallel::detail::TaskGroup::spawn (479 samples, 0.16%)llvm::parallelForEachN (495 samples, 0.16%)cshook_security_inode_free_security (38 samples, 0.01%)cshook_network_ops_inet6_sockraw_recvmsg (38 samples, 0.01%)[[falcon_kal]] (34 samples, 0.01%)[[falcon_kal]] (34 samples, 0.01%)_ZdlPv (51 samples, 0.02%)__GI___close (55 samples, 0.02%)entry_SYSCALL_64_after_hwframe (55 samples, 0.02%)do_syscall_64 (55 samples, 0.02%)unload_network_ops_symbols (54 samples, 0.02%)cshook_systemcalltable_pre_close (53 samples, 0.02%)cshook_network_ops_inet6_sockraw_recvmsg (53 samples, 0.02%)fshook_syscalltable_pre_lchown (52 samples, 0.02%)_ZdlPv (52 samples, 0.02%)_ZdlPv (52 samples, 0.02%)btrfs_rename2 (41 samples, 0.01%)btrfs_rename (40 samples, 0.01%)__x64_sys_rename (42 samples, 0.01%)do_renameat2 (42 samples, 0.01%)vfs_rename (42 samples, 0.01%)llvm::sys::fs::TempFile::keep (106 samples, 0.03%)llvm::sys::fs::rename (50 samples, 0.02%)rename (49 samples, 0.02%)entry_SYSCALL_64_after_hwframe (49 samples, 0.02%)do_syscall_64 (49 samples, 0.02%)unload_network_ops_symbols (48 samples, 0.02%)lld::elf::writeResult<llvm::object::ELFType<(llvm::support::endianness)1, true> > (856 samples, 0.28%)llvm::sys::fs::TempFile::create (35 samples, 0.01%)llvm::FileOutputBuffer::create (55 samples, 0.02%)lld::tryCreateFile (106 samples, 0.03%)llvm::sys::fs::TempFile::discard (48 samples, 0.02%)llvm::sys::fs::remove (35 samples, 0.01%)lld::elf::LinkerDriver::link (2,293 samples, 0.75%)llvm::InitializeAllTargets (115 samples, 0.04%)lld::elf::LinkerDriver::linkerMain (2,730 samples, 0.90%)readConfigs (60 samples, 0.02%)lld::elf::link (2,749 samples, 0.90%)llvm::object_deleter<llvm::cl::SubCommand>::call (50 samples, 0.02%)finish_task_switch.isra.0 (32 samples, 0.01%)__condvar_quiesce_and_switch_g1 (35 samples, 0.01%)futex_wait_simple (34 samples, 0.01%)futex_wait (34 samples, 0.01%)entry_SYSCALL_64_after_hwframe (34 samples, 0.01%)do_syscall_64 (34 samples, 0.01%)__x64_sys_futex (34 samples, 0.01%)do_futex (34 samples, 0.01%)futex_wait (34 samples, 0.01%)futex_wait_queue_me (34 samples, 0.01%)schedule (34 samples, 0.01%)__schedule (34 samples, 0.01%)llvm::llvm_shutdown (125 samples, 0.04%)llvm::parallel::detail::(anonymous namespace)::ThreadPoolExecutor::stop (54 samples, 0.02%)___pthread_cond_broadcast (54 samples, 0.02%)lld::exitLld (130 samples, 0.04%)lldMain (2,880 samples, 0.95%)__libc_start_main_impl (3,727 samples, 1.23%)__libc_start_call_main (2,895 samples, 0.95%)main (2,895 samples, 0.95%)__do_munmap (40 samples, 0.01%)__x64_sys_mmap (57 samples, 0.02%)ksys_mmap_pgoff (57 samples, 0.02%)vm_mmap_pgoff (57 samples, 0.02%)do_mmap (57 samples, 0.02%)mmap_region (57 samples, 0.02%)__mmap64 (61 samples, 0.02%)__mmap64 (61 samples, 0.02%)entry_SYSCALL_64_after_hwframe (60 samples, 0.02%)do_syscall_64 (60 samples, 0.02%)unload_network_ops_symbols (59 samples, 0.02%)_dl_map_segments (90 samples, 0.03%)_dl_map_object_from_fd (122 samples, 0.04%)_dl_map_object_deps (211 samples, 0.07%)_dl_catch_exception (208 samples, 0.07%)openaux (208 samples, 0.07%)_dl_map_object (208 samples, 0.07%)open_verify (40 samples, 0.01%)dl_new_hash (64 samples, 0.02%)_dl_lookup_symbol_x (222 samples, 0.07%)do_lookup_x (145 samples, 0.05%)do_fault (60 samples, 0.02%)do_user_addr_fault (78 samples, 0.03%)handle_mm_fault (77 samples, 0.03%)__handle_mm_fault (75 samples, 0.02%)handle_pte_fault (68 samples, 0.02%)asm_exc_page_fault (82 samples, 0.03%)exc_page_fault (82 samples, 0.03%)elf_machine_rela (344 samples, 0.11%)elf_dynamic_do_Rela (378 samples, 0.12%)_dl_relocate_object (400 samples, 0.13%)dl_main (656 samples, 0.22%)_dl_start_final (663 samples, 0.22%)_dl_sysdep_start (662 samples, 0.22%)_dl_start (665 samples, 0.22%)_start (4,398 samples, 1.45%)asm_exc_page_fault (169 samples, 0.06%)exit_mm (93 samples, 0.03%)mmput (75 samples, 0.02%)exit_mmap (75 samples, 0.02%)unmap_vmas (71 samples, 0.02%)unmap_single_vma (71 samples, 0.02%)unmap_page_range (71 samples, 0.02%)zap_pmd_range.isra.0 (71 samples, 0.02%)zap_pte_range (68 samples, 0.02%)__x64_sys_exit_group (96 samples, 0.03%)do_group_exit (96 samples, 0.03%)do_exit (96 samples, 0.03%)page_remove_rmap (36 samples, 0.01%)exit_mm (143 samples, 0.05%)mmput (133 samples, 0.04%)exit_mmap (131 samples, 0.04%)unmap_vmas (122 samples, 0.04%)unmap_single_vma (120 samples, 0.04%)unmap_page_range (120 samples, 0.04%)zap_pmd_range.isra.0 (119 samples, 0.04%)zap_pte_range (116 samples, 0.04%)entry_SYSCALL_64_after_hwframe (267 samples, 0.09%)do_syscall_64 (267 samples, 0.09%)syscall_exit_to_user_mode (144 samples, 0.05%)exit_to_user_mode_prepare (144 samples, 0.05%)exit_to_user_mode_loop (144 samples, 0.05%)arch_do_signal_or_restart (144 samples, 0.05%)get_signal (144 samples, 0.05%)do_group_exit (144 samples, 0.05%)do_exit (144 samples, 0.05%)__perf_event_task_sched_in (382 samples, 0.13%)x86_pmu_enable (376 samples, 0.12%)intel_pmu_enable_all (375 samples, 0.12%)native_write_msr (369 samples, 0.12%)finish_task_switch.isra.0 (403 samples, 0.13%)schedule_tail (411 samples, 0.14%)page_remove_file_rmap (39 samples, 0.01%)page_remove_rmap (69 samples, 0.02%)free_pages_and_swap_cache (47 samples, 0.02%)release_pages (44 samples, 0.01%)tlb_flush_mmu (66 samples, 0.02%)mmput (329 samples, 0.11%)exit_mmap (329 samples, 0.11%)unmap_vmas (311 samples, 0.10%)unmap_single_vma (308 samples, 0.10%)unmap_page_range (307 samples, 0.10%)zap_pmd_range.isra.0 (304 samples, 0.10%)zap_pte_range (288 samples, 0.09%)exit_mm (348 samples, 0.11%)do_exit (373 samples, 0.12%)arch_do_signal_or_restart (375 samples, 0.12%)get_signal (374 samples, 0.12%)do_group_exit (374 samples, 0.12%)exit_to_user_mode_loop (378 samples, 0.12%)ret_from_fork (792 samples, 0.26%)syscall_exit_to_user_mode (379 samples, 0.12%)exit_to_user_mode_prepare (379 samples, 0.12%)ld.lld (7,999 samples, 2.63%)ld..exec_binprm (34 samples, 0.01%)search_binary_handler (34 samples, 0.01%)security_bprm_check (34 samples, 0.01%)pinnedhook_security_bprm_check_security (34 samples, 0.01%)cshook_security_bprm_check_security (34 samples, 0.01%)cshook_security_file_permission (34 samples, 0.01%)cshook_security_file_permission (34 samples, 0.01%)cshook_security_sb_free_security (34 samples, 0.01%)cshook_security_sb_free_security (34 samples, 0.01%)bprm_execve (35 samples, 0.01%)bprm_execve.part.0 (35 samples, 0.01%)[bash] (58 samples, 0.02%)[bash] (58 samples, 0.02%)shell_execve (36 samples, 0.01%)__GI_execve (36 samples, 0.01%)entry_SYSCALL_64_after_hwframe (36 samples, 0.01%)do_syscall_64 (36 samples, 0.01%)__x64_sys_execve (36 samples, 0.01%)do_execveat_common.isra.0 (36 samples, 0.01%)parse_and_execute (74 samples, 0.02%)execute_command_internal (74 samples, 0.02%)[bash] (74 samples, 0.02%)execute_command_internal (74 samples, 0.02%)[bash] (131 samples, 0.04%)execute_command_internal (93 samples, 0.03%)execute_command_internal (93 samples, 0.03%)execute_command_internal (76 samples, 0.02%)[bash] (76 samples, 0.02%)[bash] (76 samples, 0.02%)execute_command_internal (75 samples, 0.02%)execute_command_internal (75 samples, 0.02%)execute_command (75 samples, 0.02%)execute_command_internal (75 samples, 0.02%)execute_command (75 samples, 0.02%)execute_command_internal (75 samples, 0.02%)execute_command (75 samples, 0.02%)execute_command_internal (75 samples, 0.02%)execute_command (75 samples, 0.02%)execute_command_internal (75 samples, 0.02%)execute_command_internal (75 samples, 0.02%)[bash] (75 samples, 0.02%)[bash] (75 samples, 0.02%)[bash] (75 samples, 0.02%)[bash] (75 samples, 0.02%)expand_string_assignment (75 samples, 0.02%)[bash] (75 samples, 0.02%)command_substitute (75 samples, 0.02%)[bash] (46 samples, 0.02%)[bash] (46 samples, 0.02%)execute_command_internal (46 samples, 0.02%)execute_command_internal (46 samples, 0.02%)execute_command_internal (99 samples, 0.03%)execute_command_internal (67 samples, 0.02%)[unknown] (284 samples, 0.09%)_ZdlPv (31 samples, 0.01%)_ZdlPv (31 samples, 0.01%)cshook_security_file_permission (45 samples, 0.01%)cshook_security_file_permission (45 samples, 0.01%)cshook_security_sb_free_security (45 samples, 0.01%)cshook_security_sb_free_security (45 samples, 0.01%)exec_binprm (48 samples, 0.02%)search_binary_handler (48 samples, 0.02%)security_bprm_check (46 samples, 0.02%)pinnedhook_security_bprm_check_security (46 samples, 0.02%)cshook_security_bprm_check_security (46 samples, 0.02%)bprm_execve (53 samples, 0.02%)bprm_execve.part.0 (53 samples, 0.02%)shell_execve (56 samples, 0.02%)__GI_execve (56 samples, 0.02%)entry_SYSCALL_64_after_hwframe (56 samples, 0.02%)do_syscall_64 (56 samples, 0.02%)__x64_sys_execve (56 samples, 0.02%)do_execveat_common.isra.0 (56 samples, 0.02%)[bash] (85 samples, 0.03%)schedule_tail (47 samples, 0.02%)finish_task_switch.isra.0 (46 samples, 0.02%)__perf_event_task_sched_in (45 samples, 0.01%)x86_pmu_enable (44 samples, 0.01%)intel_pmu_enable_all (44 samples, 0.01%)native_write_msr (44 samples, 0.01%)__GI__Fork (60 samples, 0.02%)arch_fork (60 samples, 0.02%)ret_from_fork (52 samples, 0.02%)__libc_fork (62 samples, 0.02%)make_child (66 samples, 0.02%)[bash] (155 samples, 0.05%)execute_command_internal (160 samples, 0.05%)[bash] (160 samples, 0.05%)execute_command_internal (159 samples, 0.05%)parse_and_execute (163 samples, 0.05%)command_substitute (207 samples, 0.07%)[bash] (212 samples, 0.07%)expand_string_assignment (216 samples, 0.07%)[bash] (234 samples, 0.08%)[bash] (239 samples, 0.08%)[bash] (259 samples, 0.09%)[bash] (260 samples, 0.09%)copy_command (31 samples, 0.01%)copy_command (41 samples, 0.01%)copy_command (39 samples, 0.01%)copy_command (45 samples, 0.01%)copy_command (49 samples, 0.02%)copy_command (46 samples, 0.02%)copy_command (53 samples, 0.02%)copy_command (52 samples, 0.02%)bind_function (58 samples, 0.02%)copy_command (57 samples, 0.02%)copy_command (57 samples, 0.02%)copy_command (56 samples, 0.02%)copy_command (43 samples, 0.01%)copy_command (42 samples, 0.01%)copy_command (32 samples, 0.01%)copy_command (47 samples, 0.02%)copy_command (46 samples, 0.02%)copy_command (53 samples, 0.02%)copy_command (56 samples, 0.02%)copy_function_def_contents (61 samples, 0.02%)copy_command (61 samples, 0.02%)copy_command (61 samples, 0.02%)copy_command (60 samples, 0.02%)copy_command (58 samples, 0.02%)[bash] (41 samples, 0.01%)ret_from_fork (33 samples, 0.01%)__libc_fork (40 samples, 0.01%)__GI__Fork (40 samples, 0.01%)arch_fork (40 samples, 0.01%)make_child (42 samples, 0.01%)execute_command_internal (50 samples, 0.02%)parse_and_execute (54 samples, 0.02%)expand_word_leave_quoted (113 samples, 0.04%)[bash] (113 samples, 0.04%)command_substitute (113 samples, 0.04%)schedule_tail (35 samples, 0.01%)finish_task_switch.isra.0 (35 samples, 0.01%)__perf_event_task_sched_in (34 samples, 0.01%)x86_pmu_enable (34 samples, 0.01%)intel_pmu_enable_all (34 samples, 0.01%)native_write_msr (34 samples, 0.01%)__libc_fork (45 samples, 0.01%)__GI__Fork (45 samples, 0.01%)arch_fork (44 samples, 0.01%)ret_from_fork (40 samples, 0.01%)make_child (48 samples, 0.02%)execute_command (227 samples, 0.07%)execute_command_internal (227 samples, 0.07%)execute_command (613 samples, 0.20%)execute_command_internal (610 samples, 0.20%)[bash] (44 samples, 0.01%)[bash] (247 samples, 0.08%)buffered_getchar (43 samples, 0.01%)[bash] (396 samples, 0.13%)reader_loop (1,115 samples, 0.37%)read_command (480 samples, 0.16%)parse_command (480 samples, 0.16%)yyparse (480 samples, 0.16%)__libc_start_main_impl (1,132 samples, 0.37%)__libc_start_call_main (1,132 samples, 0.37%)main (1,132 samples, 0.37%)_start (1,153 samples, 0.38%)asm_exc_page_fault (34 samples, 0.01%)exit_mm (53 samples, 0.02%)mmput (53 samples, 0.02%)exit_mmap (53 samples, 0.02%)entry_SYSCALL_64_after_hwframe (70 samples, 0.02%)do_syscall_64 (70 samples, 0.02%)__x64_sys_exit_group (60 samples, 0.02%)do_group_exit (60 samples, 0.02%)do_exit (60 samples, 0.02%)libtool (1,592 samples, 0.52%)[[stack]] (31 samples, 0.01%)[unknown] (41 samples, 0.01%)[libstdc++.so.6.0.30] (49 samples, 0.02%)std::locale::_Impl::_Impl (47 samples, 0.02%)std::ios_base::Init::Init (77 samples, 0.03%)std::locale::locale (51 samples, 0.02%)_GLOBAL__sub_I__Z12SwitchToEuidv (78 samples, 0.03%)__libc_csu_init (84 samples, 0.03%)LoadImageEventSource_GetImageHashMem (89 samples, 0.03%)_ZdlPv (88 samples, 0.03%)_ZdlPv (85 samples, 0.03%)cshook_network_ops_inet6_sockraw_recvmsg (85 samples, 0.03%)cshook_network_ops_inet6_sockraw_recvmsg (66 samples, 0.02%)LoadImageEventSource_GetImageHashMem (125 samples, 0.04%)LoadImageEventSource_GetImageHashMem (139 samples, 0.05%)_ZdlPv (57 samples, 0.02%)_ZdlPv (69 samples, 0.02%)_ZdlPv (85 samples, 0.03%)_ZdlPv (97 samples, 0.03%)_ZdlPv (108 samples, 0.04%)_ZdlPv (133 samples, 0.04%)cshook_network_ops_inet6_sockraw_recvmsg (42 samples, 0.01%)cshook_network_ops_inet6_sockraw_recvmsg (33 samples, 0.01%)_ZdlPv (50 samples, 0.02%)_ZdlPv (51 samples, 0.02%)cshook_network_ops_inet6_sockraw_release (35 samples, 0.01%)cshook_network_ops_inet6_sockraw_release (35 samples, 0.01%)cshook_systemcalltable_pre_compat_sys_ioctl (69 samples, 0.02%)cshook_systemcalltable_pre_compat_sys_ioctl (63 samples, 0.02%)cshook_systemcalltable_pre_compat_sys_ioctl (120 samples, 0.04%)cshook_systemcalltable_pre_compat_sys_ioctl (118 samples, 0.04%)cshook_systemcalltable_pre_compat_sys_ioctl (95 samples, 0.03%)cshook_systemcalltable_pre_compat_sys_ioctl (174 samples, 0.06%)cshook_systemcalltable_pre_compat_sys_ioctl (154 samples, 0.05%)cshook_systemcalltable_pre_compat_sys_ioctl (136 samples, 0.04%)cshook_systemcalltable_pre_compat_sys_ioctl (191 samples, 0.06%)cshook_systemcalltable_pre_compat_sys_ioctl (238 samples, 0.08%)cshook_systemcalltable_pre_compat_sys_ioctl (206 samples, 0.07%)cshook_systemcalltable_pre_compat_sys_ioctl (277 samples, 0.09%)cshook_systemcalltable_pre_compat_sys_ioctl (319 samples, 0.10%)_ZdlPv (692 samples, 0.23%)cshook_systemcalltable_pre_compat_sys_ioctl (387 samples, 0.13%)_ZdlPv (700 samples, 0.23%)_ZdlPv (700 samples, 0.23%)LoadImageEventSource_GetImageHashMem (33 samples, 0.01%)_ZdlPv (33 samples, 0.01%)_ZdlPv (33 samples, 0.01%)cshook_network_ops_inet6_sockraw_recvmsg (33 samples, 0.01%)cshook_network_ops_inet6_sockraw_recvmsg (31 samples, 0.01%)LoadImageEventSource_GetImageHashMem (74 samples, 0.02%)cshook_systemcalltable_pre_compat_sys_ioctl (34 samples, 0.01%)cshook_systemcalltable_pre_compat_sys_ioctl (33 samples, 0.01%)cshook_systemcalltable_pre_compat_sys_ioctl (31 samples, 0.01%)LoadImageEventSource_GetImageHashMem (82 samples, 0.03%)_ZdlPv (35 samples, 0.01%)_ZdlPv (40 samples, 0.01%)_ZdlPv (48 samples, 0.02%)cshook_network_ops_inet6_sockraw_recvmsg (59 samples, 0.02%)cshook_network_ops_inet6_sockraw_recvmsg (65 samples, 0.02%)_ZdlPv (69 samples, 0.02%)_ZdlPv (69 samples, 0.02%)cshook_systemcalltable_pre_compat_sys_ioctl (37 samples, 0.01%)cshook_systemcalltable_pre_compat_sys_ioctl (42 samples, 0.01%)cshook_systemcalltable_pre_compat_sys_ioctl (61 samples, 0.02%)cshook_systemcalltable_pre_compat_sys_ioctl (77 samples, 0.03%)cshook_systemcalltable_pre_compat_sys_ioctl (96 samples, 0.03%)cshook_systemcalltable_pre_compat_sys_ioctl (147 samples, 0.05%)cshook_systemcalltable_pre_compat_sys_ioctl (125 samples, 0.04%)cshook_systemcalltable_pre_compat_sys_ioctl (110 samples, 0.04%)cshook_systemcalltable_pre_compat_sys_ioctl (105 samples, 0.03%)_ZdlPv (396 samples, 0.13%)cshook_systemcalltable_pre_compat_sys_ioctl (243 samples, 0.08%)cshook_systemcalltable_pre_compat_sys_ioctl (167 samples, 0.05%)_ZdlPv (428 samples, 0.14%)_ZdlPv (429 samples, 0.14%)_ZdlPv (429 samples, 0.14%)cshook_security_sb_free_security (456 samples, 0.15%)cshook_security_file_permission (1,181 samples, 0.39%)cshook_security_sb_free_security (1,181 samples, 0.39%)cshook_security_sb_free_security (1,180 samples, 0.39%)security_bprm_check (1,210 samples, 0.40%)pinnedhook_security_bprm_check_security (1,209 samples, 0.40%)cshook_security_bprm_check_security (1,208 samples, 0.40%)cshook_security_file_permission (1,207 samples, 0.40%)exec_binprm (1,253 samples, 0.41%)search_binary_handler (1,252 samples, 0.41%)bprm_execve.part.0 (1,295 samples, 0.43%)bprm_execve (1,296 samples, 0.43%)do_execveat_common.isra.0 (1,315 samples, 0.43%)[libc.so.6] (1,316 samples, 0.43%)__GI_execve (1,316 samples, 0.43%)entry_SYSCALL_64_after_hwframe (1,316 samples, 0.43%)do_syscall_64 (1,316 samples, 0.43%)__x64_sys_execve (1,316 samples, 0.43%)dup_mmap (45 samples, 0.01%)dup_mm (47 samples, 0.02%)copy_process (81 samples, 0.03%)entry_SYSCALL_64_after_hwframe (87 samples, 0.03%)do_syscall_64 (86 samples, 0.03%)__x64_sys_clone (86 samples, 0.03%)__do_sys_clone (86 samples, 0.03%)kernel_clone (86 samples, 0.03%)__perf_event_task_sched_in (132 samples, 0.04%)x86_pmu_enable (129 samples, 0.04%)intel_pmu_enable_all (129 samples, 0.04%)native_write_msr (128 samples, 0.04%)schedule_tail (136 samples, 0.04%)finish_task_switch.isra.0 (135 samples, 0.04%)ret_from_fork (154 samples, 0.05%)__GI__Fork (247 samples, 0.08%)arch_fork (247 samples, 0.08%)__libc_fork (256 samples, 0.08%)LegacyProcessWrapper::RunCommand (1,635 samples, 0.54%)LegacyProcessWrapper::SpawnChild (1,635 samples, 0.54%)__perf_event_task_sched_in (98 samples, 0.03%)x86_pmu_enable (96 samples, 0.03%)intel_pmu_enable_all (96 samples, 0.03%)native_write_msr (96 samples, 0.03%)finish_task_switch.isra.0 (105 samples, 0.03%)__schedule (107 samples, 0.04%)schedule (108 samples, 0.04%)__do_sys_wait4 (130 samples, 0.04%)kernel_wait4 (130 samples, 0.04%)do_wait (130 samples, 0.04%)__x64_sys_wait4 (131 samples, 0.04%)entry_SYSCALL_64_after_hwframe (134 samples, 0.04%)do_syscall_64 (134 samples, 0.04%)WaitChild (136 samples, 0.04%)__GI___wait4 (135 samples, 0.04%)LegacyProcessWrapper::WaitForChild (198 samples, 0.07%)__GI_exit (55 samples, 0.02%)__run_exit_handlers (55 samples, 0.02%)__libc_start_main_impl (1,931 samples, 0.64%)__libc_start_call_main (1,847 samples, 0.61%)main (1,846 samples, 0.61%)_dl_load_cache_lookup (37 samples, 0.01%)__do_munmap (53 samples, 0.02%)mmap_region (82 samples, 0.03%)do_mmap (84 samples, 0.03%)__x64_sys_mmap (85 samples, 0.03%)ksys_mmap_pgoff (85 samples, 0.03%)vm_mmap_pgoff (85 samples, 0.03%)do_syscall_64 (97 samples, 0.03%)unload_network_ops_symbols (94 samples, 0.03%)entry_SYSCALL_64_after_hwframe (101 samples, 0.03%)__mmap64 (102 samples, 0.03%)__mmap64 (102 samples, 0.03%)_dl_map_segments (147 samples, 0.05%)_dl_map_object_from_fd (210 samples, 0.07%)do_sys_openat2 (33 samples, 0.01%)__x64_sys_openat (37 samples, 0.01%)__GI___open64_nocancel (63 samples, 0.02%)entry_SYSCALL_64_after_hwframe (63 samples, 0.02%)do_syscall_64 (62 samples, 0.02%)unload_network_ops_symbols (60 samples, 0.02%)open_verify (71 samples, 0.02%)_dl_catch_exception (328 samples, 0.11%)openaux (328 samples, 0.11%)_dl_map_object (328 samples, 0.11%)_dl_map_object_deps (332 samples, 0.11%)_dl_protect_relro (45 samples, 0.01%)__mprotect (44 samples, 0.01%)entry_SYSCALL_64_after_hwframe (44 samples, 0.01%)do_syscall_64 (44 samples, 0.01%)__x64_sys_mprotect (44 samples, 0.01%)do_mprotect_pkey (44 samples, 0.01%)mprotect_fixup (41 samples, 0.01%)dl_new_hash (130 samples, 0.04%)check_match (45 samples, 0.01%)strcmp (32 samples, 0.01%)_dl_lookup_symbol_x (393 samples, 0.13%)do_lookup_x (235 samples, 0.08%)elf_machine_rela (480 samples, 0.16%)elf_dynamic_do_Rela (559 samples, 0.18%)_dl_relocate_object (610 samples, 0.20%)dl_main (1,035 samples, 0.34%)_dl_start_final (1,056 samples, 0.35%)_dl_sysdep_start (1,054 samples, 0.35%)_dl_start (1,062 samples, 0.35%)_start (3,008 samples, 0.99%)asm_exc_page_fault (41 samples, 0.01%)exec_mmap (50 samples, 0.02%)mmput (49 samples, 0.02%)exit_mmap (46 samples, 0.02%)begin_new_exec (54 samples, 0.02%)load_elf_binary (92 samples, 0.03%)__x64_sys_execve (93 samples, 0.03%)do_execveat_common.isra.0 (93 samples, 0.03%)bprm_execve (93 samples, 0.03%)bprm_execve.part.0 (93 samples, 0.03%)exec_binprm (93 samples, 0.03%)search_binary_handler (93 samples, 0.03%)unmap_single_vma (88 samples, 0.03%)unmap_page_range (86 samples, 0.03%)zap_pmd_range.isra.0 (86 samples, 0.03%)zap_pte_range (80 samples, 0.03%)exit_mm (143 samples, 0.05%)mmput (143 samples, 0.05%)exit_mmap (143 samples, 0.05%)unmap_vmas (90 samples, 0.03%)__x64_sys_exit_group (177 samples, 0.06%)do_group_exit (177 samples, 0.06%)do_exit (177 samples, 0.06%)entry_SYSCALL_64_after_hwframe (271 samples, 0.09%)do_syscall_64 (271 samples, 0.09%)process-wrapper (3,463 samples, 1.14%)__perf_event_task_sched_in (112 samples, 0.04%)x86_pmu_enable (112 samples, 0.04%)intel_pmu_enable_all (111 samples, 0.04%)native_write_msr (110 samples, 0.04%)finish_task_switch.isra.0 (126 samples, 0.04%)schedule (138 samples, 0.05%)__schedule (138 samples, 0.05%)release_task (37 samples, 0.01%)proc_flush_pid (31 samples, 0.01%)proc_invalidate_siblings_dcache (31 samples, 0.01%)d_invalidate (31 samples, 0.01%)shrink_dcache_parent (31 samples, 0.01%)do_wait (182 samples, 0.06%)wait_consider_task (42 samples, 0.01%)wait_task_zombie (41 samples, 0.01%)Java_java_lang_ProcessHandleImpl_waitForProcessExit0 (186 samples, 0.06%)__GI___wait4 (185 samples, 0.06%)entry_SYSCALL_64_after_hwframe (185 samples, 0.06%)do_syscall_64 (185 samples, 0.06%)__x64_sys_wait4 (185 samples, 0.06%)__do_sys_wait4 (185 samples, 0.06%)kernel_wait4 (185 samples, 0.06%)__perf_event_task_sched_in (43 samples, 0.01%)x86_pmu_enable (41 samples, 0.01%)intel_pmu_enable_all (41 samples, 0.01%)native_write_msr (41 samples, 0.01%)finish_task_switch.isra.0 (47 samples, 0.02%)futex_wait_queue_me (52 samples, 0.02%)schedule (51 samples, 0.02%)__schedule (51 samples, 0.02%)__x64_sys_futex (53 samples, 0.02%)do_futex (53 samples, 0.02%)futex_wait (53 samples, 0.02%)__GI___futex_abstimed_wait_cancelable64 (55 samples, 0.02%)__futex_abstimed_wait_common (55 samples, 0.02%)__futex_abstimed_wait_common64 (55 samples, 0.02%)entry_SYSCALL_64_after_hwframe (55 samples, 0.02%)do_syscall_64 (55 samples, 0.02%)___pthread_cond_timedwait64 (56 samples, 0.02%)__pthread_cond_wait_common (56 samples, 0.02%)Unsafe_Park (61 samples, 0.02%)Parker::park (61 samples, 0.02%)[perf-720743.map] (353 samples, 0.12%)process_reaper (362 samples, 0.12%)Java_java_util_zip_Deflater_deflateBytesBytes (42 samples, 0.01%)deflate (42 samples, 0.01%)[libz.so.1.2.11] (42 samples, 0.01%)__perf_event_task_sched_in (55 samples, 0.02%)x86_pmu_enable (50 samples, 0.02%)intel_pmu_enable_all (49 samples, 0.02%)native_write_msr (49 samples, 0.02%)finish_task_switch.isra.0 (57 samples, 0.02%)futex_wait_queue_me (93 samples, 0.03%)schedule (93 samples, 0.03%)__schedule (91 samples, 0.03%)__x64_sys_futex (101 samples, 0.03%)do_futex (101 samples, 0.03%)futex_wait (101 samples, 0.03%)do_syscall_64 (111 samples, 0.04%)__GI___futex_abstimed_wait_cancelable64 (119 samples, 0.04%)__futex_abstimed_wait_common (118 samples, 0.04%)__futex_abstimed_wait_common64 (117 samples, 0.04%)entry_SYSCALL_64_after_hwframe (114 samples, 0.04%)___pthread_cond_wait (121 samples, 0.04%)__pthread_cond_wait_common (121 samples, 0.04%)Parker::park (133 samples, 0.04%)Unsafe_Park (143 samples, 0.05%)[perf-720743.map] (493 samples, 0.16%)profile-writer- (527 samples, 0.17%)[python3.10] (68 samples, 0.02%)PyImport_ImportModuleLevelObject (35 samples, 0.01%)_PyObject_CallMethodIdObjArgs (35 samples, 0.01%)[python3.10] (35 samples, 0.01%)_PyFunction_Vectorcall (35 samples, 0.01%)_PyEval_EvalFrameDefault (35 samples, 0.01%)_PyFunction_Vectorcall (35 samples, 0.01%)_PyEval_EvalFrameDefault (35 samples, 0.01%)_PyFunction_Vectorcall (33 samples, 0.01%)_PyEval_EvalFrameDefault (33 samples, 0.01%)_PyFunction_Vectorcall (32 samples, 0.01%)_PyEval_EvalFrameDefault (32 samples, 0.01%)_PyFunction_Vectorcall (32 samples, 0.01%)_PyEval_EvalFrameDefault (32 samples, 0.01%)PyEval_EvalCode (42 samples, 0.01%)[python3.10] (42 samples, 0.01%)_PyEval_EvalFrameDefault (42 samples, 0.01%)_PyFunction_Vectorcall (101 samples, 0.03%)_PyEval_EvalFrameDefault (101 samples, 0.03%)_PyFunction_Vectorcall (86 samples, 0.03%)_PyEval_EvalFrameDefault (86 samples, 0.03%)_PyFunction_Vectorcall (72 samples, 0.02%)_PyEval_EvalFrameDefault (72 samples, 0.02%)_PyFunction_Vectorcall (46 samples, 0.02%)_PyEval_EvalFrameDefault (46 samples, 0.02%)[python3.10] (46 samples, 0.02%)[python3.10] (46 samples, 0.02%)_PyEval_EvalFrameDefault (150 samples, 0.05%)PyImport_ImportModuleLevelObject (32 samples, 0.01%)_PyObject_CallMethodIdObjArgs (32 samples, 0.01%)[python3.10] (32 samples, 0.01%)_PyFunction_Vectorcall (32 samples, 0.01%)_PyEval_EvalFrameDefault (32 samples, 0.01%)_PyFunction_Vectorcall (32 samples, 0.01%)_PyEval_EvalFrameDefault (32 samples, 0.01%)_PyFunction_Vectorcall (32 samples, 0.01%)_PyEval_EvalFrameDefault (32 samples, 0.01%)_PyObject_CallMethodIdObjArgs (34 samples, 0.01%)[python3.10] (34 samples, 0.01%)_PyFunction_Vectorcall (34 samples, 0.01%)_PyEval_EvalFrameDefault (34 samples, 0.01%)_PyFunction_Vectorcall (34 samples, 0.01%)_PyEval_EvalFrameDefault (34 samples, 0.01%)_PyFunction_Vectorcall (34 samples, 0.01%)_PyEval_EvalFrameDefault (34 samples, 0.01%)_PyFunction_Vectorcall (34 samples, 0.01%)_PyEval_EvalFrameDefault (34 samples, 0.01%)_PyFunction_Vectorcall (34 samples, 0.01%)_PyEval_EvalFrameDefault (34 samples, 0.01%)[python3.10] (34 samples, 0.01%)[python3.10] (34 samples, 0.01%)PyEval_EvalCode (34 samples, 0.01%)[python3.10] (34 samples, 0.01%)_PyEval_EvalFrameDefault (34 samples, 0.01%)[unknown] (320 samples, 0.11%)[python3.10] (40 samples, 0.01%)[python3.10] (38 samples, 0.01%)Py_RunMain (93 samples, 0.03%)Py_FinalizeEx (64 samples, 0.02%)[python3.10] (45 samples, 0.01%)Py_InitializeFromConfig (63 samples, 0.02%)[python3.10] (63 samples, 0.02%)[python3.10] (63 samples, 0.02%)__libc_start_main_impl (157 samples, 0.05%)__libc_start_call_main (157 samples, 0.05%)Py_BytesMain (157 samples, 0.05%)[python3.10] (64 samples, 0.02%)[python3.10] (64 samples, 0.02%)_start (171 samples, 0.06%)python3 (533 samples, 0.18%)dl_main (39 samples, 0.01%)_start (44 samples, 0.01%)_dl_start (44 samples, 0.01%)_dl_start_final (44 samples, 0.01%)_dl_sysdep_start (44 samples, 0.01%)sed (82 samples, 0.03%)[anon] (784 samples, 0.26%)[libstdc++.so.6.0.30] (32 samples, 0.01%)_ZdlPv (55 samples, 0.02%)__GI___close (62 samples, 0.02%)entry_SYSCALL_64_after_hwframe (62 samples, 0.02%)do_syscall_64 (62 samples, 0.02%)unload_network_ops_symbols (60 samples, 0.02%)cshook_systemcalltable_pre_close (60 samples, 0.02%)cshook_network_ops_inet6_sockraw_recvmsg (60 samples, 0.02%)fshook_syscalltable_pre_lchown (57 samples, 0.02%)_ZdlPv (57 samples, 0.02%)_ZdlPv (57 samples, 0.02%)__GI___close_nocancel (42 samples, 0.01%)entry_SYSCALL_64_after_hwframe (41 samples, 0.01%)do_syscall_64 (41 samples, 0.01%)btrfs_search_slot (59 samples, 0.02%)btrfs_real_readdir (110 samples, 0.04%)iterate_dir (127 samples, 0.04%)__x64_sys_getdents64 (133 samples, 0.04%)__GI___readdir64 (142 samples, 0.05%)__GI___getdents64 (140 samples, 0.05%)entry_SYSCALL_64_after_hwframe (137 samples, 0.05%)do_syscall_64 (136 samples, 0.04%)btrfs_mkdir (43 samples, 0.01%)__x64_sys_mkdir (61 samples, 0.02%)do_mkdirat (61 samples, 0.02%)vfs_mkdir (46 samples, 0.02%)__GI_mkdir (77 samples, 0.03%)entry_SYSCALL_64_after_hwframe (77 samples, 0.03%)do_syscall_64 (77 samples, 0.03%)unload_network_ops_symbols (77 samples, 0.03%)do_rmdir (59 samples, 0.02%)vfs_rmdir (58 samples, 0.02%)__GI_remove (61 samples, 0.02%)__GI___rmdir (61 samples, 0.02%)entry_SYSCALL_64_after_hwframe (60 samples, 0.02%)do_syscall_64 (60 samples, 0.02%)unload_network_ops_symbols (60 samples, 0.02%)__x64_sys_rmdir (60 samples, 0.02%)filename_lookup (34 samples, 0.01%)path_lookupat (34 samples, 0.01%)__GI_remove (128 samples, 0.04%)__unlink (67 samples, 0.02%)entry_SYSCALL_64_after_hwframe (67 samples, 0.02%)do_syscall_64 (67 samples, 0.02%)unload_network_ops_symbols (66 samples, 0.02%)cshook_systemcalltable_pre_unlink (38 samples, 0.01%)cshook_network_ops_inet6_sockraw_recvmsg (38 samples, 0.01%)fshook_syscalltable_pre_lchown (37 samples, 0.01%)fshook_syscalltable_pre_lchown (37 samples, 0.01%)[[falcon_kal]] (37 samples, 0.01%)user_path_at_empty (36 samples, 0.01%)do_rmdir (38 samples, 0.01%)vfs_rmdir (37 samples, 0.01%)__x64_sys_unlinkat (56 samples, 0.02%)__GI_unlinkat (73 samples, 0.02%)entry_SYSCALL_64_after_hwframe (73 samples, 0.02%)do_syscall_64 (73 samples, 0.02%)unload_network_ops_symbols (72 samples, 0.02%)inode_permission (51 samples, 0.02%)lookup_fast (78 samples, 0.03%)__d_lookup_rcu (67 samples, 0.02%)link_path_walk.part.0.constprop.0 (173 samples, 0.06%)walk_component (92 samples, 0.03%)path_lookupat (217 samples, 0.07%)filename_lookup (221 samples, 0.07%)getname_flags.part.0 (58 samples, 0.02%)getname_flags (60 samples, 0.02%)user_path_at_empty (290 samples, 0.10%)__do_sys_newlstat (339 samples, 0.11%)vfs_statx (319 samples, 0.10%)__x64_sys_newlstat (342 samples, 0.11%)do_syscall_64 (348 samples, 0.11%)entry_SYSCALL_64_after_hwframe (356 samples, 0.12%)___lxstat64 (370 samples, 0.12%)lookup_fast (34 samples, 0.01%)__d_lookup_rcu (32 samples, 0.01%)link_path_walk.part.0.constprop.0 (110 samples, 0.04%)walk_component (54 samples, 0.02%)filename_lookup (140 samples, 0.05%)path_lookupat (138 samples, 0.05%)getname_flags.part.0 (35 samples, 0.01%)getname_flags (36 samples, 0.01%)user_path_at_empty (189 samples, 0.06%)__do_sys_newstat (229 samples, 0.08%)vfs_statx (213 samples, 0.07%)__x64_sys_newstat (231 samples, 0.08%)___xstat64 (238 samples, 0.08%)entry_SYSCALL_64_after_hwframe (236 samples, 0.08%)do_syscall_64 (235 samples, 0.08%)do_syscall_64 (42 samples, 0.01%)unload_network_ops_symbols (40 samples, 0.01%)__chmod (44 samples, 0.01%)entry_SYSCALL_64_after_hwframe (44 samples, 0.01%)btrfs_create (31 samples, 0.01%)do_filp_open (39 samples, 0.01%)path_openat (38 samples, 0.01%)open_last_lookups (33 samples, 0.01%)lookup_open.isra.0 (33 samples, 0.01%)__x64_sys_openat (40 samples, 0.01%)do_sys_openat2 (40 samples, 0.01%)__libc_open64 (58 samples, 0.02%)entry_SYSCALL_64_after_hwframe (58 samples, 0.02%)do_syscall_64 (57 samples, 0.02%)unload_network_ops_symbols (57 samples, 0.02%)do_filp_open (49 samples, 0.02%)path_openat (48 samples, 0.02%)do_sys_openat2 (52 samples, 0.02%)__x64_sys_openat (54 samples, 0.02%)cshook_systemcalltable_post_openat (48 samples, 0.02%)fshook_syscalltable_pre_lchown (34 samples, 0.01%)__GI___open64_nocancel (113 samples, 0.04%)entry_SYSCALL_64_after_hwframe (111 samples, 0.04%)do_syscall_64 (111 samples, 0.04%)unload_network_ops_symbols (105 samples, 0.03%)__opendir (138 samples, 0.05%)jni_GetByteArrayRegion (36 samples, 0.01%)jni_GetObjectField (31 samples, 0.01%)InstanceKlass::allocate_instance (37 samples, 0.01%)JavaCalls::call_helper (61 samples, 0.02%)jni_invoke_nonstatic (108 samples, 0.04%)jni_NewObjectV (204 samples, 0.07%)[libunix_jni.so] (2,014 samples, 0.66%)ConstantPool::klass_at_impl (40 samples, 0.01%)SystemDictionary::resolve_or_fail (39 samples, 0.01%)SystemDictionary::resolve_instance_class_or_null (39 samples, 0.01%)InstanceKlass::link_class_impl (76 samples, 0.02%)InterpreterRuntime::_new (127 samples, 0.04%)InstanceKlass::initialize_impl (82 samples, 0.03%)CollectedHeap::array_allocate (76 samples, 0.02%)MemAllocator::allocate (74 samples, 0.02%)InstanceKlass::allocate_objArray (139 samples, 0.05%)InterpreterRuntime::anewarray (207 samples, 0.07%)InterpreterRuntime::build_method_counters (32 samples, 0.01%)TieredThresholdPolicy::method_back_branch_event (34 samples, 0.01%)Method::build_interpreter_method_data (33 samples, 0.01%)TieredThresholdPolicy::call_event (69 samples, 0.02%)TieredThresholdPolicy::loop_predicate (31 samples, 0.01%)futex_wait (34 samples, 0.01%)futex_wait_queue_me (34 samples, 0.01%)schedule (33 samples, 0.01%)__schedule (32 samples, 0.01%)Monitor::ILock (39 samples, 0.01%)os::PlatformEvent::park (35 samples, 0.01%)___pthread_cond_wait (35 samples, 0.01%)__pthread_cond_wait_common (35 samples, 0.01%)__GI___futex_abstimed_wait_cancelable64 (35 samples, 0.01%)__futex_abstimed_wait_common (35 samples, 0.01%)__futex_abstimed_wait_common64 (35 samples, 0.01%)entry_SYSCALL_64_after_hwframe (35 samples, 0.01%)do_syscall_64 (35 samples, 0.01%)__x64_sys_futex (35 samples, 0.01%)do_futex (35 samples, 0.01%)Monitor::lock (40 samples, 0.01%)CompileBroker::compile_method_base (64 samples, 0.02%)CompileBroker::compile_method (85 samples, 0.03%)TieredThresholdPolicy::event (246 samples, 0.08%)TieredThresholdPolicy::method_invocation_event (209 samples, 0.07%)TieredThresholdPolicy::compile (91 samples, 0.03%)TieredThresholdPolicy::submit_compile (90 samples, 0.03%)InterpreterRuntime::frequency_counter_overflow (304 samples, 0.10%)InterpreterRuntime::frequency_counter_overflow_inner (302 samples, 0.10%)JavaThread::pd_last_frame (54 samples, 0.02%)CodeCache::find_blob (44 samples, 0.01%)InterpreterRuntime::ldc (123 samples, 0.04%)__x64_sys_futex (33 samples, 0.01%)do_futex (33 samples, 0.01%)futex_wait (32 samples, 0.01%)futex_wait_queue_me (32 samples, 0.01%)schedule (32 samples, 0.01%)__schedule (32 samples, 0.01%)__GI___futex_abstimed_wait_cancelable64 (34 samples, 0.01%)__futex_abstimed_wait_common (34 samples, 0.01%)__futex_abstimed_wait_common64 (34 samples, 0.01%)entry_SYSCALL_64_after_hwframe (34 samples, 0.01%)do_syscall_64 (34 samples, 0.01%)___pthread_cond_timedwait64 (37 samples, 0.01%)__pthread_cond_wait_common (37 samples, 0.01%)ObjectMonitor::enter (58 samples, 0.02%)os::PlatformEvent::park (55 samples, 0.02%)InterpreterRuntime::monitorenter (80 samples, 0.03%)LinkResolver::resolve_field_access (34 samples, 0.01%)InterpreterRuntime::resolve_get_put (44 samples, 0.01%)ConstantPool::klass_ref_at (35 samples, 0.01%)LinkResolver::resolve_invokeinterface (52 samples, 0.02%)LinkResolver::resolve_invokevirtual (43 samples, 0.01%)InstanceKlass::link_class_impl (49 samples, 0.02%)InstanceKlass::initialize_impl (52 samples, 0.02%)LinkResolver::resolve_static_call (74 samples, 0.02%)LinkResolver::resolve_invoke (241 samples, 0.08%)InterpreterRuntime::resolve_invoke (306 samples, 0.10%)ConstantPool::copy_bootstrap_arguments_at_impl (38 samples, 0.01%)ConstantPool::resolve_constant_at_impl (37 samples, 0.01%)ConstantPool::resolve_bootstrap_specifier_at_impl (57 samples, 0.02%)InterpreterRuntime::resolve_invokedynamic (85 samples, 0.03%)LinkResolver::resolve_invoke (81 samples, 0.03%)LinkResolver::resolve_invokedynamic (81 samples, 0.03%)InterpreterRuntime::resolve_from_cache (461 samples, 0.15%)JVM_Clone (143 samples, 0.05%)JVM_FindLoadedClass (31 samples, 0.01%)JVM_GetClassDeclaredMethods (43 samples, 0.01%)get_class_declared_methods_helper (43 samples, 0.01%)Reflection::new_method (37 samples, 0.01%)ObjectSynchronizer::FastHashCode (32 samples, 0.01%)JVM_IHashCode (38 samples, 0.01%)java_lang_StackTraceElement::fill_in (75 samples, 0.02%)StringTable::intern (40 samples, 0.01%)JVM_InitStackTraceElementArray (95 samples, 0.03%)java_lang_Throwable::get_stack_trace_elements (94 samples, 0.03%)JVM_IsInterrupted (64 samples, 0.02%)finish_task_switch.isra.0 (41 samples, 0.01%)__perf_event_task_sched_in (39 samples, 0.01%)x86_pmu_enable (37 samples, 0.01%)intel_pmu_enable_all (36 samples, 0.01%)native_write_msr (36 samples, 0.01%)__x64_sys_futex (45 samples, 0.01%)do_futex (45 samples, 0.01%)futex_wait (44 samples, 0.01%)futex_wait_queue_me (44 samples, 0.01%)schedule (43 samples, 0.01%)__schedule (43 samples, 0.01%)__GI___futex_abstimed_wait_cancelable64 (49 samples, 0.02%)__futex_abstimed_wait_common (49 samples, 0.02%)__futex_abstimed_wait_common64 (49 samples, 0.02%)entry_SYSCALL_64_after_hwframe (47 samples, 0.02%)do_syscall_64 (47 samples, 0.02%)___pthread_cond_wait (50 samples, 0.02%)__pthread_cond_wait_common (50 samples, 0.02%)ObjectMonitor::wait (57 samples, 0.02%)os::PlatformEvent::park (54 samples, 0.02%)ObjectSynchronizer::wait (60 samples, 0.02%)JVM_MonitorWait (62 samples, 0.02%)SymbolTable::lookup_only (296 samples, 0.10%)ClassFileParser::parse_constant_pool_entries (345 samples, 0.11%)ClassFileParser::parse_constant_pool (356 samples, 0.12%)ClassFileParser::parse_method (93 samples, 0.03%)ClassFileParser::parse_methods (94 samples, 0.03%)ClassFileParser::ClassFileParser (482 samples, 0.16%)ClassFileParser::parse_stream (481 samples, 0.16%)DefaultMethods::generate_default_methods (41 samples, 0.01%)ClassFileParser::fill_instance_klass (66 samples, 0.02%)ClassFileParser::create_instance_klass (70 samples, 0.02%)KlassFactory::create_from_stream (581 samples, 0.19%)SystemDictionary::resolve_from_stream (607 samples, 0.20%)JVM_DefineClassWithSource (618 samples, 0.20%)jvm_define_class_common (618 samples, 0.20%)Java_java_lang_ClassLoader_defineClass1 (646 samples, 0.21%)SystemDictionary::resolve_or_null (32 samples, 0.01%)SystemDictionary::resolve_instance_class_or_null (32 samples, 0.01%)JVM_FindClassFromBootLoader (33 samples, 0.01%)Java_java_lang_ClassLoader_findBootstrapClass (46 samples, 0.02%)Java_java_lang_ProcessHandleImpl_isAlive0 (59 samples, 0.02%)os_getParentPidAndTimings (59 samples, 0.02%)copy_process (58 samples, 0.02%)wait_for_completion_killable (58 samples, 0.02%)__wait_for_common (58 samples, 0.02%)schedule_timeout (58 samples, 0.02%)schedule (58 samples, 0.02%)__schedule (58 samples, 0.02%)finish_task_switch.isra.0 (56 samples, 0.02%)__perf_event_task_sched_in (55 samples, 0.02%)x86_pmu_enable (50 samples, 0.02%)intel_pmu_enable_all (49 samples, 0.02%)native_write_msr (48 samples, 0.02%)__x64_sys_vfork (127 samples, 0.04%)kernel_clone (126 samples, 0.04%)entry_SYSCALL_64_after_hwframe (133 samples, 0.04%)do_syscall_64 (132 samples, 0.04%)__perf_event_task_sched_in (167 samples, 0.05%)x86_pmu_enable (162 samples, 0.05%)intel_pmu_enable_all (162 samples, 0.05%)native_write_msr (161 samples, 0.05%)finish_task_switch.isra.0 (181 samples, 0.06%)schedule_tail (192 samples, 0.06%)__libc_vfork (331 samples, 0.11%)ret_from_fork (195 samples, 0.06%)load_elf_binary (42 samples, 0.01%)_ZdlPv (279 samples, 0.09%)_ZdlPv (276 samples, 0.09%)cshook_network_ops_inet6_sockraw_recvmsg (274 samples, 0.09%)cshook_network_ops_inet6_sockraw_recvmsg (242 samples, 0.08%)cshook_network_ops_inet6_sockraw_recvmsg (64 samples, 0.02%)LoadImageEventSource_GetImageHashMem (282 samples, 0.09%)cshook_systemcalltable_pre_compat_sys_ioctl (37 samples, 0.01%)cshook_systemcalltable_pre_compat_sys_ioctl (45 samples, 0.01%)cshook_systemcalltable_pre_compat_sys_ioctl (49 samples, 0.02%)cshook_systemcalltable_pre_compat_sys_ioctl (53 samples, 0.02%)cshook_systemcalltable_pre_compat_sys_ioctl (53 samples, 0.02%)LoadImageEventSource_GetImageHashMem (351 samples, 0.12%)LoadImageEventSource_GetImageHashMem (370 samples, 0.12%)_ZdlPv (32 samples, 0.01%)_ZdlPv (43 samples, 0.01%)cshook_network_ops_inet6_sockraw_release (39 samples, 0.01%)_ZdlPv (91 samples, 0.03%)_ZdlPv (107 samples, 0.04%)_ZdlPv (135 samples, 0.04%)_ZdlPv (152 samples, 0.05%)_ZdlPv (167 samples, 0.05%)_ZdlPv (190 samples, 0.06%)cshook_network_ops_inet6_sockraw_recvmsg (68 samples, 0.02%)cshook_network_ops_inet6_sockraw_recvmsg (55 samples, 0.02%)_ZdlPv (76 samples, 0.02%)_ZdlPv (78 samples, 0.03%)cshook_network_ops_inet6_sockraw_release (39 samples, 0.01%)cshook_network_ops_inet6_sockraw_release (38 samples, 0.01%)cshook_network_ops_inet6_sockraw_release (44 samples, 0.01%)cshook_network_ops_inet6_sockraw_release (41 samples, 0.01%)cshook_systemcalltable_pre_compat_sys_ioctl (79 samples, 0.03%)cshook_systemcalltable_pre_compat_sys_ioctl (70 samples, 0.02%)cshook_systemcalltable_pre_compat_sys_ioctl (156 samples, 0.05%)cshook_systemcalltable_pre_compat_sys_ioctl (121 samples, 0.04%)cshook_systemcalltable_pre_compat_sys_ioctl (168 samples, 0.06%)cshook_systemcalltable_pre_compat_sys_ioctl (189 samples, 0.06%)cshook_systemcalltable_pre_compat_sys_ioctl (232 samples, 0.08%)cshook_systemcalltable_pre_compat_sys_ioctl (268 samples, 0.09%)cshook_systemcalltable_pre_compat_sys_ioctl (301 samples, 0.10%)cshook_systemcalltable_pre_compat_sys_ioctl (358 samples, 0.12%)cshook_systemcalltable_pre_compat_sys_ioctl (314 samples, 0.10%)cshook_systemcalltable_pre_compat_sys_ioctl (446 samples, 0.15%)cshook_systemcalltable_pre_compat_sys_ioctl (605 samples, 0.20%)cshook_systemcalltable_pre_compat_sys_ioctl (516 samples, 0.17%)_ZdlPv (1,203 samples, 0.40%)_ZdlPv (1,219 samples, 0.40%)_ZdlPv (1,218 samples, 0.40%)_ZdlPv (70 samples, 0.02%)cshook_network_ops_inet6_sockraw_recvmsg (69 samples, 0.02%)cshook_network_ops_inet6_sockraw_recvmsg (57 samples, 0.02%)LoadImageEventSource_GetImageHashMem (71 samples, 0.02%)_ZdlPv (71 samples, 0.02%)cshook_systemcalltable_pre_compat_sys_ioctl (49 samples, 0.02%)cshook_systemcalltable_pre_compat_sys_ioctl (35 samples, 0.01%)cshook_systemcalltable_pre_compat_sys_ioctl (51 samples, 0.02%)cshook_systemcalltable_pre_compat_sys_ioctl (55 samples, 0.02%)LoadImageEventSource_GetImageHashMem (151 samples, 0.05%)LoadImageEventSource_GetImageHashMem (139 samples, 0.05%)cshook_systemcalltable_pre_compat_sys_ioctl (60 samples, 0.02%)cshook_systemcalltable_pre_compat_sys_ioctl (59 samples, 0.02%)_ZdlPv (40 samples, 0.01%)_ZdlPv (49 samples, 0.02%)_ZdlPv (55 samples, 0.02%)_ZdlPv (70 samples, 0.02%)cshook_network_ops_inet6_sockraw_recvmsg (103 samples, 0.03%)cshook_network_ops_inet6_sockraw_recvmsg (116 samples, 0.04%)_ZdlPv (119 samples, 0.04%)_ZdlPv (122 samples, 0.04%)cshook_systemcalltable_pre_compat_sys_ioctl (54 samples, 0.02%)cshook_systemcalltable_pre_compat_sys_ioctl (32 samples, 0.01%)cshook_systemcalltable_pre_compat_sys_ioctl (65 samples, 0.02%)cshook_systemcalltable_pre_compat_sys_ioctl (87 samples, 0.03%)cshook_systemcalltable_pre_compat_sys_ioctl (118 samples, 0.04%)cshook_systemcalltable_pre_compat_sys_ioctl (141 samples, 0.05%)cshook_systemcalltable_pre_compat_sys_ioctl (158 samples, 0.05%)cshook_systemcalltable_pre_compat_sys_ioctl (234 samples, 0.08%)cshook_systemcalltable_pre_compat_sys_ioctl (207 samples, 0.07%)cshook_systemcalltable_pre_compat_sys_ioctl (174 samples, 0.06%)cshook_systemcalltable_pre_compat_sys_ioctl (270 samples, 0.09%)_ZdlPv (645 samples, 0.21%)cshook_systemcalltable_pre_compat_sys_ioctl (402 samples, 0.13%)_ZdlPv (653 samples, 0.21%)_ZdlPv (657 samples, 0.22%)_ZdlPv (656 samples, 0.22%)cshook_security_sb_free_security (690 samples, 0.23%)cshook_security_file_permission (1,939 samples, 0.64%)cshook_security_sb_free_security (1,936 samples, 0.64%)cshook_security_sb_free_security (1,934 samples, 0.64%)search_binary_handler (2,021 samples, 0.66%)security_bprm_check (1,959 samples, 0.64%)pinnedhook_security_bprm_check_security (1,957 samples, 0.64%)cshook_security_bprm_check_security (1,956 samples, 0.64%)cshook_security_file_permission (1,956 samples, 0.64%)exec_binprm (2,022 samples, 0.67%)finish_task_switch.isra.0 (35 samples, 0.01%)__perf_event_task_sched_in (35 samples, 0.01%)x86_pmu_enable (34 samples, 0.01%)intel_pmu_enable_all (33 samples, 0.01%)native_write_msr (33 samples, 0.01%)__cond_resched (37 samples, 0.01%)__schedule (37 samples, 0.01%)sched_exec (42 samples, 0.01%)stop_one_cpu (38 samples, 0.01%)bprm_execve.part.0 (2,089 samples, 0.69%)bprm_execve (2,095 samples, 0.69%)JDK_execvpe (2,133 samples, 0.70%)__GI_execve (2,133 samples, 0.70%)entry_SYSCALL_64_after_hwframe (2,133 samples, 0.70%)do_syscall_64 (2,133 samples, 0.70%)__x64_sys_execve (2,133 samples, 0.70%)do_execveat_common.isra.0 (2,132 samples, 0.70%)__opendir (31 samples, 0.01%)closeDescriptors (88 samples, 0.03%)Java_java_lang_ProcessImpl_forkAndExec (2,635 samples, 0.87%)vforkChild (2,583 samples, 0.85%)childProcess (2,252 samples, 0.74%)JVM_FillInStackTrace (45 samples, 0.01%)java_lang_Throwable::fill_in_stack_trace (43 samples, 0.01%)java_lang_Throwable::fill_in_stack_trace (42 samples, 0.01%)Java_java_lang_Throwable_fillInStackTrace (47 samples, 0.02%)do_mkdirat (43 samples, 0.01%)__x64_sys_mkdir (45 samples, 0.01%)Java_sun_nio_fs_UnixNativeDispatcher_mkdir0 (52 samples, 0.02%)__GI_mkdir (52 samples, 0.02%)entry_SYSCALL_64_after_hwframe (52 samples, 0.02%)do_syscall_64 (52 samples, 0.02%)unload_network_ops_symbols (52 samples, 0.02%)[libc.so.6] (32 samples, 0.01%)InstanceKlass::allocate_objArray (55 samples, 0.02%)CollectedHeap::array_allocate (53 samples, 0.02%)MemAllocator::allocate (53 samples, 0.02%)ObjArrayAllocator::initialize (38 samples, 0.01%)OptoRuntime::new_array_C (80 samples, 0.03%)OptoRuntime::new_instance_C (41 samples, 0.01%)JavaThread::pd_last_frame (38 samples, 0.01%)CodeCache::find_blob (36 samples, 0.01%)TieredThresholdPolicy::call_event (54 samples, 0.02%)CompileBroker::compile_method (43 samples, 0.01%)TieredThresholdPolicy::event (156 samples, 0.05%)TieredThresholdPolicy::method_invocation_event (113 samples, 0.04%)TieredThresholdPolicy::compile (49 samples, 0.02%)TieredThresholdPolicy::submit_compile (48 samples, 0.02%)frame::sender (61 samples, 0.02%)CodeCache::find_blob (41 samples, 0.01%)Runtime1::counter_overflow (305 samples, 0.10%)ObjectMonitor::enter (40 samples, 0.01%)Runtime1::monitorenter (85 samples, 0.03%)__x64_sys_futex (32 samples, 0.01%)do_futex (32 samples, 0.01%)futex_wait (32 samples, 0.01%)___pthread_cond_timedwait64 (33 samples, 0.01%)__pthread_cond_wait_common (33 samples, 0.01%)__GI___futex_abstimed_wait_cancelable64 (33 samples, 0.01%)__futex_abstimed_wait_common (33 samples, 0.01%)__futex_abstimed_wait_common64 (33 samples, 0.01%)entry_SYSCALL_64_after_hwframe (33 samples, 0.01%)do_syscall_64 (33 samples, 0.01%)__x64_sys_futex (34 samples, 0.01%)do_futex (34 samples, 0.01%)futex_wait (34 samples, 0.01%)futex_wait_queue_me (34 samples, 0.01%)schedule (33 samples, 0.01%)__schedule (33 samples, 0.01%)___pthread_cond_wait (37 samples, 0.01%)__pthread_cond_wait_common (37 samples, 0.01%)__GI___futex_abstimed_wait_cancelable64 (37 samples, 0.01%)__futex_abstimed_wait_common (37 samples, 0.01%)__futex_abstimed_wait_common64 (37 samples, 0.01%)entry_SYSCALL_64_after_hwframe (36 samples, 0.01%)do_syscall_64 (36 samples, 0.01%)ObjectMonitor::enter (78 samples, 0.03%)os::PlatformEvent::park (74 samples, 0.02%)SharedRuntime::complete_monitor_locking_C (108 samples, 0.04%)SharedRuntime::handle_ic_miss_helper (31 samples, 0.01%)SharedRuntime::handle_wrong_method_ic_miss (33 samples, 0.01%)ClassFileParser::ClassFileParser (31 samples, 0.01%)ClassFileParser::parse_stream (31 samples, 0.01%)KlassFactory::create_from_stream (50 samples, 0.02%)SystemDictionary::parse_stream (84 samples, 0.03%)Unsafe_DefineAnonymousClass0 (95 samples, 0.03%)__perf_event_task_sched_in (217 samples, 0.07%)x86_pmu_enable (210 samples, 0.07%)intel_pmu_enable_all (210 samples, 0.07%)native_write_msr (208 samples, 0.07%)finish_task_switch.isra.0 (228 samples, 0.07%)__schedule (281 samples, 0.09%)futex_wait_queue_me (283 samples, 0.09%)schedule (282 samples, 0.09%)__x64_sys_futex (290 samples, 0.10%)do_futex (290 samples, 0.10%)futex_wait (288 samples, 0.09%)entry_SYSCALL_64_after_hwframe (307 samples, 0.10%)do_syscall_64 (307 samples, 0.10%)__GI___futex_abstimed_wait_cancelable64 (311 samples, 0.10%)__futex_abstimed_wait_common (311 samples, 0.10%)__futex_abstimed_wait_common64 (311 samples, 0.10%)___pthread_cond_wait (317 samples, 0.10%)__pthread_cond_wait_common (317 samples, 0.10%)Parker::park (382 samples, 0.13%)Unsafe_Park (392 samples, 0.13%)select_task_rq_fair (39 samples, 0.01%)enqueue_task_fair (47 samples, 0.02%)psi_group_change (34 samples, 0.01%)psi_task_change (43 samples, 0.01%)enqueue_task (95 samples, 0.03%)ttwu_do_activate (118 samples, 0.04%)try_to_wake_up (197 samples, 0.06%)futex_wake (228 samples, 0.07%)wake_up_q (204 samples, 0.07%)do_futex (236 samples, 0.08%)__x64_sys_futex (238 samples, 0.08%)__schedule (49 samples, 0.02%)exit_to_user_mode_loop (57 samples, 0.02%)schedule (52 samples, 0.02%)exit_to_user_mode_prepare (61 samples, 0.02%)do_syscall_64 (303 samples, 0.10%)syscall_exit_to_user_mode (63 samples, 0.02%)entry_SYSCALL_64_after_hwframe (305 samples, 0.10%)___pthread_cond_signal (327 samples, 0.11%)futex_wake (317 samples, 0.10%)Unsafe_Unpark (398 samples, 0.13%)end_bio_extent_buffer_writepage (36 samples, 0.01%)end_page_writeback (33 samples, 0.01%)bio_endio (64 samples, 0.02%)clone_endio (64 samples, 0.02%)dm_io_dec_pending (64 samples, 0.02%)bio_endio (64 samples, 0.02%)btrfs_end_bio (64 samples, 0.02%)bio_endio (64 samples, 0.02%)bio_endio (67 samples, 0.02%)clone_endio (67 samples, 0.02%)dm_io_dec_pending (67 samples, 0.02%)crypt_dec_pending (68 samples, 0.02%)nvme_complete_rq (117 samples, 0.04%)blk_mq_end_request (117 samples, 0.04%)blk_update_request (112 samples, 0.04%)bio_endio (108 samples, 0.04%)crypt_endio (105 samples, 0.03%)__handle_irq_event_percpu (124 samples, 0.04%)nvme_irq (119 samples, 0.04%)nvme_process_cq (119 samples, 0.04%)nvme_pci_complete_rq (119 samples, 0.04%)handle_edge_irq (127 samples, 0.04%)handle_irq_event (125 samples, 0.04%)__common_interrupt (144 samples, 0.05%)asm_common_interrupt (174 samples, 0.06%)common_interrupt (173 samples, 0.06%)__count_memcg_events (37 samples, 0.01%)__cgroup_throttle_swaprate (39 samples, 0.01%)charge_memcg (65 samples, 0.02%)try_charge_memcg (34 samples, 0.01%)__mem_cgroup_charge (98 samples, 0.03%)clear_page_erms (124 samples, 0.04%)rmqueue_bulk (43 samples, 0.01%)get_page_from_freelist (242 samples, 0.08%)rmqueue (89 samples, 0.03%)__alloc_pages (287 samples, 0.09%)alloc_pages_vma (313 samples, 0.10%)__pagevec_lru_add_fn (49 samples, 0.02%)__pagevec_lru_add (72 samples, 0.02%)lru_cache_add_inactive_or_unevictable (94 samples, 0.03%)lru_cache_add (89 samples, 0.03%)__mod_lruvec_page_state (57 samples, 0.02%)page_add_new_anon_rmap (64 samples, 0.02%)do_anonymous_page (660 samples, 0.22%)handle_pte_fault (731 samples, 0.24%)__handle_mm_fault (802 samples, 0.26%)handle_mm_fault (901 samples, 0.30%)do_user_addr_fault (1,013 samples, 0.33%)exc_page_fault (1,062 samples, 0.35%)asm_exc_page_fault (1,073 samples, 0.35%)task_tick_fair (37 samples, 0.01%)scheduler_tick (56 samples, 0.02%)tick_sched_handle (94 samples, 0.03%)update_process_times (88 samples, 0.03%)__hrtimer_run_queues (193 samples, 0.06%)tick_sched_timer (114 samples, 0.04%)hrtimer_interrupt (227 samples, 0.07%)__sysvec_apic_timer_interrupt (232 samples, 0.08%)rcu_do_batch (55 samples, 0.02%)rcu_core_si (65 samples, 0.02%)rcu_core (65 samples, 0.02%)irq_exit_rcu (106 samples, 0.03%)__softirqentry_text_start (99 samples, 0.03%)exit_to_user_mode_loop (38 samples, 0.01%)schedule (35 samples, 0.01%)__schedule (35 samples, 0.01%)exit_to_user_mode_prepare (43 samples, 0.01%)irqentry_exit (44 samples, 0.01%)irqentry_exit_to_user_mode (44 samples, 0.01%)asm_sysvec_apic_timer_interrupt (391 samples, 0.13%)sysvec_apic_timer_interrupt (386 samples, 0.13%)__perf_event_task_sched_in (102 samples, 0.03%)x86_pmu_enable (95 samples, 0.03%)intel_pmu_enable_all (92 samples, 0.03%)native_write_msr (92 samples, 0.03%)finish_task_switch.isra.0 (114 samples, 0.04%)pick_next_task_fair (48 samples, 0.02%)pick_next_task (54 samples, 0.02%)__schedule (247 samples, 0.08%)exit_to_user_mode_loop (272 samples, 0.09%)schedule (251 samples, 0.08%)exit_to_user_mode_prepare (284 samples, 0.09%)asm_sysvec_reschedule_ipi (298 samples, 0.10%)sysvec_reschedule_ipi (292 samples, 0.10%)irqentry_exit (287 samples, 0.09%)irqentry_exit_to_user_mode (287 samples, 0.09%)__fput (62 samples, 0.02%)security_file_free (32 samples, 0.01%)____fput (69 samples, 0.02%)exit_to_user_mode_loop (87 samples, 0.03%)task_work_run (71 samples, 0.02%)syscall_exit_to_user_mode (89 samples, 0.03%)exit_to_user_mode_prepare (88 samples, 0.03%)cshook_security_file_free_security (34 samples, 0.01%)cshook_network_ops_inet6_sockraw_recvmsg (84 samples, 0.03%)fshook_syscalltable_pre_lchown (45 samples, 0.01%)entry_SYSCALL_64_after_hwframe (217 samples, 0.07%)do_syscall_64 (216 samples, 0.07%)unload_network_ops_symbols (119 samples, 0.04%)cshook_systemcalltable_pre_close (85 samples, 0.03%)__GI___close (224 samples, 0.07%)fileDescriptorClose (244 samples, 0.08%)JNU_GetStringPlatformChars (64 samples, 0.02%)___fxstat64 (32 samples, 0.01%)__alloc_file (42 samples, 0.01%)alloc_empty_file (46 samples, 0.02%)do_dentry_open (39 samples, 0.01%)do_open (51 samples, 0.02%)vfs_open (45 samples, 0.01%)lookup_fast (34 samples, 0.01%)__d_lookup_rcu (34 samples, 0.01%)link_path_walk.part.0.constprop.0 (86 samples, 0.03%)walk_component (56 samples, 0.02%)btrfs_create (38 samples, 0.01%)lookup_open.isra.0 (41 samples, 0.01%)open_last_lookups (50 samples, 0.02%)path_openat (252 samples, 0.08%)do_filp_open (262 samples, 0.09%)do_sys_openat2 (305 samples, 0.10%)__x64_sys_openat (317 samples, 0.10%)[[falcon_kal]] (31 samples, 0.01%)down_read (31 samples, 0.01%)cshook_network_ops_inet6_sockraw_recvmsg (49 samples, 0.02%)cshook_security_inode_free_security (40 samples, 0.01%)cshook_security_file_free_security (53 samples, 0.02%)cshook_security_file_free_security (74 samples, 0.02%)cshook_security_file_free_security (70 samples, 0.02%)enqueue_task (36 samples, 0.01%)ttwu_do_activate (49 samples, 0.02%)complete (99 samples, 0.03%)swake_up_locked (97 samples, 0.03%)wake_up_process (92 samples, 0.03%)try_to_wake_up (90 samples, 0.03%)[[falcon_kal]] (101 samples, 0.03%)_ZdlPv (118 samples, 0.04%)cshook_network_ops_inet6_sockraw_release (112 samples, 0.04%)_ZdlPv (137 samples, 0.05%)prepend_path (32 samples, 0.01%)d_path (35 samples, 0.01%)[[falcon_kal]] (51 samples, 0.02%)cshook_systemcalltable_pre_compat_sys_ioctl (83 samples, 0.03%)cshook_systemcalltable_post_openat (351 samples, 0.12%)fshook_syscalltable_pre_lchown (262 samples, 0.09%)do_syscall_64 (719 samples, 0.24%)unload_network_ops_symbols (692 samples, 0.23%)entry_SYSCALL_64_after_hwframe (721 samples, 0.24%)__libc_open64 (737 samples, 0.24%)fileOpen (879 samples, 0.29%)jni_IsAssignableFrom (59 samples, 0.02%)os::javaTimeNanos (90 samples, 0.03%)__GI___clock_gettime (84 samples, 0.03%)sync_regs (111 samples, 0.04%)[perf-720743.map] (126,949 samples, 41.76%)[perf-720743.map]Deoptimization::create_vframeArray (54 samples, 0.02%)vframeArray::allocate (44 samples, 0.01%)Deoptimization::fetch_unroll_info_helper (81 samples, 0.03%)Runtime1::counter_overflow (31 samples, 0.01%)SharedRuntime::handle_ic_miss_helper (45 samples, 0.01%)SharedRuntime::find_callee_info_helper (45 samples, 0.01%)LinkResolver::resolve_method_statically (58 samples, 0.02%)Bytecode_invoke::static_target (62 samples, 0.02%)LinkResolver::resolve_invoke (47 samples, 0.02%)OopMapStream::find_next (36 samples, 0.01%)frame::sender (77 samples, 0.03%)OopMapSet::update_register_map (72 samples, 0.02%)SharedRuntime::find_callee_info_helper (222 samples, 0.07%)SharedRuntime::resolve_sub_helper (358 samples, 0.12%)__perf_event_task_sched_in (161 samples, 0.05%)x86_pmu_enable (155 samples, 0.05%)intel_pmu_enable_all (154 samples, 0.05%)native_write_msr (152 samples, 0.05%)finish_task_switch.isra.0 (171 samples, 0.06%)__x64_sys_futex (186 samples, 0.06%)do_futex (186 samples, 0.06%)futex_wait (186 samples, 0.06%)futex_wait_queue_me (184 samples, 0.06%)schedule (183 samples, 0.06%)__schedule (183 samples, 0.06%)do_syscall_64 (194 samples, 0.06%)entry_SYSCALL_64_after_hwframe (196 samples, 0.06%)__GI___futex_abstimed_wait_cancelable64 (198 samples, 0.07%)__futex_abstimed_wait_common (198 samples, 0.07%)__futex_abstimed_wait_common64 (198 samples, 0.07%)___pthread_cond_wait (203 samples, 0.07%)__pthread_cond_wait_common (203 samples, 0.07%)Monitor::lock_without_safepoint_check (217 samples, 0.07%)Monitor::ILock (217 samples, 0.07%)os::PlatformEvent::park (209 samples, 0.07%)SafepointSynchronize::block (238 samples, 0.08%)ThreadSafepointState::handle_polling_page_exception (249 samples, 0.08%)__fget_light (56 samples, 0.02%)__fget_files (55 samples, 0.02%)__fdget_pos (81 samples, 0.03%)copy_page_to_iter (67 samples, 0.02%)copy_user_enhanced_fast_string (808 samples, 0.27%)xas_load (81 samples, 0.03%)filemap_get_read_batch (188 samples, 0.06%)__page_cache_alloc (32 samples, 0.01%)alloc_pages (32 samples, 0.01%)__add_to_page_cache_locked (44 samples, 0.01%)add_to_page_cache_lru (90 samples, 0.03%)read_pages (40 samples, 0.01%)btrfs_readahead (36 samples, 0.01%)extent_readahead (36 samples, 0.01%)page_cache_async_ra (175 samples, 0.06%)ondemand_readahead (175 samples, 0.06%)page_cache_ra_unbounded (174 samples, 0.06%)page_cache_sync_ra (32 samples, 0.01%)ondemand_readahead (32 samples, 0.01%)page_cache_ra_unbounded (31 samples, 0.01%)filemap_get_pages (444 samples, 0.15%)__mod_lruvec_state (36 samples, 0.01%)__activate_page.part.0 (91 samples, 0.03%)__activate_page (97 samples, 0.03%)pagevec_lru_move_fn (137 samples, 0.05%)mark_page_accessed (262 samples, 0.09%)workingset_activation (88 samples, 0.03%)workingset_age_nonresident (67 samples, 0.02%)atime_needs_update (47 samples, 0.02%)touch_atime (65 samples, 0.02%)filemap_read (1,770 samples, 0.58%)btrfs_file_read_iter (1,799 samples, 0.59%)new_sync_read (1,847 samples, 0.61%)apparmor_file_permission (53 samples, 0.02%)fsnotify_perm.part.0 (41 samples, 0.01%)pinnedhook_security_file_permission (59 samples, 0.02%)cshook_security_file_permission (42 samples, 0.01%)rw_verify_area (199 samples, 0.07%)security_file_permission (187 samples, 0.06%)ksys_read (2,259 samples, 0.74%)vfs_read (2,107 samples, 0.69%)__x64_sys_read (2,267 samples, 0.75%)do_syscall_64 (2,435 samples, 0.80%)unload_network_ops_symbols (2,334 samples, 0.77%)entry_SYSCALL_64_after_hwframe (2,452 samples, 0.81%)__GI___libc_read (2,556 samples, 0.84%)__GI___libc_read (2,545 samples, 0.84%)handleRead (2,579 samples, 0.85%)jni_GetArrayLength (78 samples, 0.03%)ThreadStateTransition::transition_from_native (39 samples, 0.01%)AccessInternal::PostRuntimeDispatch<G1BarrierSet::AccessBarrier<802934ul, G1BarrierSet>, (AccessInternal::BarrierType)3, 802934ul>::oop_access_barrier (40 samples, 0.01%)JNIHandles::make_local (44 samples, 0.01%)ThreadStateTransition::trans_and_fence (42 samples, 0.01%)jni_GetObjectField (231 samples, 0.08%)[libc.so.6] (307 samples, 0.10%)check_bounds (39 samples, 0.01%)readBytes (3,365 samples, 1.11%)jni_SetByteArrayRegion (427 samples, 0.14%)[unknown] (4,236 samples, 1.39%)__clone3 (71 samples, 0.02%)start_thread (60 samples, 0.02%)thread_native_entry (43 samples, 0.01%)asm_exc_page_fault (237 samples, 0.08%)entry_SYSCALL_64_safe_stack (33 samples, 0.01%)skyframe-evalua (134,445 samples, 44.23%)skyframe-evalua[perf-720743.map] (91 samples, 0.03%)skyframe-invali (98 samples, 0.03%)all (304,002 samples, 100%) \ No newline at end of file diff --git a/results/llvm-reuse-sandbox-dirs.svg b/results/llvm-reuse-sandbox-dirs.svg index 6ae4370..df4f43e 100644 --- a/results/llvm-reuse-sandbox-dirs.svg +++ b/results/llvm-reuse-sandbox-dirs.svg @@ -1,8 +1,7 @@ - \ No newline at end of file diff --git a/results/llvm.svg b/results/llvm.svg index cc2c262..0e84599 100644 --- a/results/llvm.svg +++ b/results/llvm.svg @@ -1,8 +1,7 @@ - \ No newline at end of file diff --git a/results/profile.txt b/results/profile.txt index 44a38f9..4662824 100644 --- a/results/profile.txt +++ b/results/profile.txt @@ -10,18 +10,16 @@ INFO: Reading rc options for 'build' from /code/test-zigcc/.bazelrc: 'build' options: --announce_rc --incompatible_enable_cc_toolchain_resolution --action_env BAZEL_DO_NOT_DETECT_CPP_TOOLCHAIN=1 Loading: Loading: 0 packages loaded -INFO: Build options --extra_toolchains and --platforms have changed, discarding analysis cache. Analyzing: target //:zigzag-0 (0 packages loaded, 0 targets configured) -INFO: Analyzed target //:zigzag-0 (2 packages loaded, 14770 targets configured). +INFO: Analyzed target //:zigzag-0 (0 packages loaded, 0 targets configured). INFO: Found 1 target... -[1 / 5] [Prepa] BazelWorkspaceStatusAction stable-status.txt -[3 / 5] [Scann] Compiling main.cc +[0 / 4] [Prepa] BazelWorkspaceStatusAction stable-status.txt Target //:zigzag-0 up-to-date: bazel-bin/zigzag-0 -INFO: Elapsed time: 3.345s, Critical Path: 0.25s -INFO: 4 processes: 2 internal, 2 local. -INFO: Build completed successfully, 4 total actions -INFO: Build completed successfully, 4 total actions +INFO: Elapsed time: 1.495s, Critical Path: 1.17s +INFO: 3 processes: 1 internal, 2 local. +INFO: Build completed successfully, 3 total actions +INFO: Build completed successfully, 3 total actions + bazel clean --color=no --curses=no INFO: Options provided by the client: Inherited 'common' options: --isatty=0 --terminal_columns=80 @@ -40,28 +38,33 @@ INFO: Reading rc options for 'build' from /code/test-zigcc/.bazelrc: 'build' options: --announce_rc --incompatible_enable_cc_toolchain_resolution --action_env BAZEL_DO_NOT_DETECT_CPP_TOOLCHAIN=1 Loading: Loading: 0 packages loaded -Analyzing: 64 targets (1 packages loaded) +Loading: 0 packages loaded Analyzing: 64 targets (1 packages loaded, 0 targets configured) -INFO: Analyzed 64 targets (41 packages loaded, 14833 targets configured). - +Analyzing: 64 targets (37 packages loaded, 133 targets configured) +Analyzing: 64 targets (41 packages loaded, 3982 targets configured) +INFO: Analyzed 64 targets (41 packages loaded, 3982 targets configured). INFO: Found 64 targets... -[0 / 24] [Prepa] BazelWorkspaceStatusAction stable-status.txt ... (5 actions, 0 running) -[45 / 88] checking cached actions -[108 / 196] Linking zigzag-46; 0s local ... (8 actions, 7 running) -[141 / 196] Linking zigzag-25; 0s local ... (8 actions, 7 running) -[179 / 196] Linking zigzag-62; 0s local ... (4 actions, 3 running) -[226 / 236] Linking zigzag-63; 0s local ... (8 actions, 7 running) -INFO: Elapsed time: 9.663s, Critical Path: 0.72s +[0 / 16] [Prepa] Creating source manifest for //:zigzag-11 +[94 / 172] Compiling main.cc; 0s local ... (2 actions, 1 running) +[120 / 172] Compiling main.cc; 0s local ... (8 actions, 7 running) +[132 / 173] Linking zigzag-63; 1s local ... (8 actions, 7 running) +[143 / 173] Linking zigzag-33; 1s local ... (8 actions, 6 running) +[149 / 173] Linking zigzag-23; 1s local ... (8 actions, 7 running) +[157 / 173] Linking zigzag-52; 1s local ... (8 actions, 7 running) +[164 / 173] Linking zigzag-59; 2s local ... (8 actions, 7 running) +[196 / 205] Linking zigzag-25; 1s local ... (8 actions, 7 running) +[228 / 237] Linking zigzag-51; 2s local ... (8 actions, 7 running) +INFO: Elapsed time: 23.836s, Critical Path: 3.47s INFO: 257 processes: 129 internal, 128 local. INFO: Build completed successfully, 257 total actions INFO: Build completed successfully, 257 total actions -[ perf record: Woken up 8204 times to write data ] +[ perf record: Woken up 12941 times to write data ] Warning: -Processed 511968 events and lost 128 chunks! +Processed 687698 events and lost 521 chunks! Check IO/CPU overload! -[ perf record: Captured and wrote 2393.422 MB /home/motiejus/zigcc-nosandbox.data (296054 samples) ] +[ perf record: Captured and wrote 3883.348 MB /home/motiejus/zigcc-nosandbox.data (480358 samples) ] + _build llvm-nosandbox --spawn_strategy=local --extra_toolchains=@llvm_toolchain_with_sysroot//:cc-toolchain-x86_64-linux + name=llvm-nosandbox + shift @@ -75,17 +78,20 @@ INFO: Reading rc options for 'build' from /code/test-zigcc/.bazelrc: 'build' options: --announce_rc --incompatible_enable_cc_toolchain_resolution --action_env BAZEL_DO_NOT_DETECT_CPP_TOOLCHAIN=1 Loading: Loading: 0 packages loaded +Loading: 0 packages loaded +Loading: 0 packages loaded Analyzing: target //:zigzag-0 (1 packages loaded, 0 targets configured) +Analyzing: target //:zigzag-0 (41 packages loaded, 685 targets configured) Analyzing: target //:zigzag-0 (41 packages loaded, 1353 targets configured) Analyzing: target //:zigzag-0 (41 packages loaded, 1353 targets configured) Analyzing: target //:zigzag-0 (41 packages loaded, 1353 targets configured) -INFO: Analyzed target //:zigzag-0 (42 packages loaded, 16713 targets configured). +INFO: Analyzed target //:zigzag-0 (42 packages loaded, 8250 targets configured). INFO: Found 1 target... [0 / 6] [Prepa] Writing file zigzag-0-2.params -[3 / 6] checking cached actions +[3 / 6] [Prepa] Creating runfiles tree bazel-out/k8-fastbuild/bin/zigzag-0.runfiles Target //:zigzag-0 up-to-date: bazel-bin/zigzag-0 -INFO: Elapsed time: 13.386s, Critical Path: 0.16s +INFO: Elapsed time: 19.682s, Critical Path: 2.01s INFO: 5 processes: 3 internal, 2 local. INFO: Build completed successfully, 5 total actions INFO: Build completed successfully, 5 total actions @@ -108,28 +114,28 @@ INFO: Reading rc options for 'build' from /code/test-zigcc/.bazelrc: Loading: Loading: 0 packages loaded Loading: 0 packages loaded - currently loading: Analyzing: 64 targets (1 packages loaded, 0 targets configured) -Analyzing: 64 targets (42 packages loaded, 16702 targets configured) -INFO: Analyzed 64 targets (42 packages loaded, 16776 targets configured). +Analyzing: 64 targets (42 packages loaded, 1353 targets configured) +INFO: Analyzed 64 targets (42 packages loaded, 8313 targets configured). INFO: Found 64 targets... -[0 / 14] [Prepa] BazelWorkspaceStatusAction stable-status.txt -[4 / 14] checking cached actions -[4 / 14] [Prepa] Creating runfiles tree bazel-out/k8-fastbuild/bin/zigzag-10.runfiles -[205 / 321] Compiling main.cc; 0s local ... (7 actions, 6 running) -[255 / 321] Compiling main.cc; 0s local ... (3 actions, 2 running) -[302 / 321] Linking zigzag-34; 0s local ... (4 actions, 3 running) -INFO: Elapsed time: 10.305s, Critical Path: 0.40s +[0 / 16] [Prepa] Writing file zigzag-12-2.params +[3 / 16] checking cached actions +[3 / 16] [Prepa] Creating source manifest for //:zigzag-14 +[183 / 279] Compiling main.cc; 0s local ... (4 actions, 3 running) +[201 / 279] Compiling main.cc; 0s local ... (7 actions, 4 running) +[232 / 281] Linking zigzag-9; 0s local ... (5 actions, 4 running) +[302 / 316] Compiling main.cc; 0s local ... (8 actions, 7 running) +INFO: Elapsed time: 13.268s, Critical Path: 1.19s INFO: 321 processes: 193 internal, 128 local. INFO: Build completed successfully, 321 total actions INFO: Build completed successfully, 321 total actions -[ perf record: Woken up 7621 times to write data ] +[ perf record: Woken up 7557 times to write data ] Warning: -Processed 326754 events and lost 122 chunks! +Processed 365461 events and lost 357 chunks! Check IO/CPU overload! -[ perf record: Captured and wrote 2153.998 MB /home/motiejus/llvm-nosandbox.data (267651 samples) ] +[ perf record: Captured and wrote 2446.519 MB /home/motiejus/llvm-nosandbox.data (304062 samples) ] + _build zigcc --platforms=@zig_sdk//libc_aware/platform:linux_amd64_gnu.2.28 + name=zigcc + shift @@ -144,16 +150,16 @@ INFO: Reading rc options for 'build' from /code/test-zigcc/.bazelrc: Loading: Loading: 0 packages loaded Loading: 0 packages loaded + currently loading: Analyzing: target //:zigzag-0 (1 packages loaded, 0 targets configured) -Analyzing: target //:zigzag-0 (37 packages loaded, 135 targets configured) -INFO: Analyzed target //:zigzag-0 (41 packages loaded, 14770 targets configured). +Analyzing: target //:zigzag-0 (37 packages loaded, 133 targets configured) +INFO: Analyzed target //:zigzag-0 (41 packages loaded, 3919 targets configured). INFO: Found 1 target... [0 / 5] [Prepa] BazelWorkspaceStatusAction stable-status.txt -[3 / 5] [Scann] Compiling main.cc -[4 / 5] Linking zigzag-0; 0s linux-sandbox +[4 / 5] [Prepa] Linking zigzag-0 Target //:zigzag-0 up-to-date: bazel-bin/zigzag-0 -INFO: Elapsed time: 7.350s, Critical Path: 1.16s +INFO: Elapsed time: 7.044s, Critical Path: 1.05s INFO: 4 processes: 2 internal, 2 linux-sandbox. INFO: Build completed successfully, 4 total actions INFO: Build completed successfully, 4 total actions @@ -177,36 +183,37 @@ Loading: Loading: 0 packages loaded Loading: 0 packages loaded Analyzing: 64 targets (1 packages loaded, 0 targets configured) -Analyzing: 64 targets (41 packages loaded, 14833 targets configured) -INFO: Analyzed 64 targets (41 packages loaded, 14833 targets configured). +Analyzing: 64 targets (36 packages loaded, 133 targets configured) +Analyzing: 64 targets (41 packages loaded, 3120 targets configured) +INFO: Analyzed 64 targets (41 packages loaded, 3982 targets configured). INFO: Found 64 targets... -[0 / 25] [Prepa] BazelWorkspaceStatusAction stable-status.txt ... (2 actions, 0 running) -[45 / 95] [Prepa] Creating source manifest for //:zigzag-9 -[87 / 176] Compiling main.cc; 1s linux-sandbox ... (8 actions running) -[88 / 176] Compiling main.cc; 4s linux-sandbox ... (8 actions, 7 running) -[95 / 176] Linking zigzag-32; 2s linux-sandbox ... (8 actions running) -[96 / 176] Linking zigzag-11; 3s linux-sandbox ... (8 actions, 7 running) -[103 / 176] Compiling main.cc; 3s linux-sandbox ... (8 actions running) -[111 / 176] Linking zigzag-6; 1s linux-sandbox ... (8 actions running) -[114 / 176] Linking zigzag-0; 3s linux-sandbox ... (8 actions running) -[119 / 176] Compiling main.cc; 3s linux-sandbox ... (8 actions running) -[127 / 176] Linking zigzag-34; 3s linux-sandbox ... (8 actions running) -[138 / 176] Compiling main.cc; 3s linux-sandbox ... (8 actions running) -[149 / 176] Linking zigzag-61; 4s linux-sandbox ... (8 actions, 7 running) -[160 / 176] Linking zigzag-62; 3s linux-sandbox ... (8 actions, 7 running) -[186 / 196] Linking zigzag-41; 3s linux-sandbox ... (8 actions, 7 running) -[221 / 232] Linking zigzag-26; 3s linux-sandbox ... (8 actions, 7 running) -INFO: Elapsed time: 72.266s, Critical Path: 10.52s +[0 / 20] [Prepa] BazelWorkspaceStatusAction stable-status.txt ... (5 actions, 0 running) +[79 / 172] Creating runfiles tree bazel-out/k8-fastbuild/bin/zigzag-44.runfiles; 0s local ... (6 actions, 4 running) +[129 / 257] Compiling main.cc; 1s linux-sandbox ... (8 actions running) +[137 / 257] Linking zigzag-34; 0s linux-sandbox ... (8 actions running) +[137 / 257] Linking zigzag-34; 1s linux-sandbox ... (8 actions running) +[139 / 257] Linking zigzag-29; 4s linux-sandbox ... (8 actions, 7 running) +[146 / 257] Linking zigzag-55; 2s linux-sandbox ... (8 actions running) +[154 / 257] Linking zigzag-48; 2s linux-sandbox ... (8 actions running) +[157 / 257] Linking zigzag-12; 4s linux-sandbox ... (8 actions running) +[168 / 257] Linking zigzag-46; 2s linux-sandbox ... (8 actions, 7 running) +[175 / 257] Linking zigzag-31; 5s linux-sandbox ... (8 actions, 7 running) +[191 / 257] Linking zigzag-26; 1s linux-sandbox ... (8 actions, 7 running) +[201 / 257] Compiling main.cc; 1s linux-sandbox ... (8 actions, 7 running) +[213 / 257] Linking zigzag-57; 5s linux-sandbox ... (8 actions, 7 running) +[229 / 257] Linking zigzag-20; 4s linux-sandbox ... (8 actions, 7 running) +[248 / 257] Linking zigzag-27; 4s linux-sandbox ... (8 actions, 7 running) +INFO: Elapsed time: 58.919s, Critical Path: 8.38s INFO: 257 processes: 129 internal, 128 linux-sandbox. INFO: Build completed successfully, 257 total actions INFO: Build completed successfully, 257 total actions -[ perf record: Woken up 58560 times to write data ] +[ perf record: Woken up 17010 times to write data ] Warning: -Processed 2695780 events and lost 269 chunks! +Processed 1194215 events and lost 988 chunks! Check IO/CPU overload! -[ perf record: Captured and wrote 18854.450 MB /home/motiejus/zigcc.data (2319885 samples) ] +[ perf record: Captured and wrote 7767.026 MB /home/motiejus/zigcc.data (956947 samples) ] + _build llvm --extra_toolchains=@llvm_toolchain_with_sysroot//:cc-toolchain-x86_64-linux + name=llvm + shift @@ -221,17 +228,17 @@ INFO: Reading rc options for 'build' from /code/test-zigcc/.bazelrc: Loading: Loading: 0 packages loaded Loading: 0 packages loaded - currently loading: Analyzing: target //:zigzag-0 (1 packages loaded, 0 targets configured) -INFO: Analyzed target //:zigzag-0 (42 packages loaded, 16713 targets configured). - +Analyzing: target //:zigzag-0 (42 packages loaded, 2810 targets configured) +INFO: Analyzed target //:zigzag-0 (42 packages loaded, 8250 targets configured). INFO: Found 1 target... -[0 / 6] [Prepa] Writing file zigzag-0-2.params +[0 / 6] [Prepa] BazelWorkspaceStatusAction stable-status.txt ... (2 actions, 0 running) [3 / 6] checking cached actions -[4 / 6] Compiling main.cc; 0s linux-sandbox +[3 / 6] [Prepa] Creating runfiles tree bazel-out/k8-fastbuild/bin/zigzag-0.runfiles +[6 / 6] checking cached actions Target //:zigzag-0 up-to-date: bazel-bin/zigzag-0 -INFO: Elapsed time: 8.378s, Critical Path: 1.05s +INFO: Elapsed time: 9.494s, Critical Path: 1.00s INFO: 5 processes: 3 internal, 2 linux-sandbox. INFO: Build completed successfully, 5 total actions INFO: Build completed successfully, 5 total actions @@ -255,37 +262,39 @@ Loading: Loading: 0 packages loaded Loading: 0 packages loaded Analyzing: 64 targets (1 packages loaded, 0 targets configured) -Analyzing: 64 targets (42 packages loaded, 16711 targets configured) -INFO: Analyzed 64 targets (42 packages loaded, 16776 targets configured). +Analyzing: 64 targets (42 packages loaded, 1353 targets configured) +INFO: Analyzed 64 targets (42 packages loaded, 8313 targets configured). INFO: Found 64 targets... -[0 / 22] [Prepa] BazelWorkspaceStatusAction stable-status.txt -[4 / 22] checking cached actions -[6 / 22] checking cached actions -[193 / 321] Compiling main.cc; 0s linux-sandbox ... (8 actions running) -[194 / 321] Compiling main.cc; 5s linux-sandbox ... (8 actions, 7 running) -[201 / 321] Linking zigzag-10; 2s linux-sandbox ... (8 actions running) -[202 / 321] Linking zigzag-12; 4s linux-sandbox ... (8 actions running) -[209 / 321] Compiling main.cc; 2s linux-sandbox ... (8 actions running) -[217 / 321] Linking zigzag-31; 0s linux-sandbox ... (8 actions running) -[217 / 321] Linking zigzag-31; 3s linux-sandbox ... (8 actions running) -[228 / 321] Compiling main.cc; 4s linux-sandbox ... (6 actions, 5 running) -[237 / 321] Linking zigzag-46; 4s linux-sandbox ... (8 actions, 7 running) -[248 / 321] Compiling main.cc; 3s linux-sandbox ... (8 actions, 7 running) -[258 / 321] Compiling main.cc; 4s linux-sandbox ... (8 actions, 7 running) -[275 / 321] Compiling main.cc; 3s linux-sandbox ... (7 actions, 6 running) -[292 / 321] Compiling main.cc; 4s linux-sandbox ... (8 actions running) -[311 / 321] Compiling main.cc; 3s linux-sandbox ... (8 actions, 7 running) -INFO: Elapsed time: 77.297s, Critical Path: 11.14s +[0 / 23] [Prepa] BazelWorkspaceStatusAction stable-status.txt ... (4 actions, 0 running) +[13 / 23] checking cached actions +[16 / 27] checking cached actions +[17 / 28] checking cached actions +[136 / 227] Compiling main.cc; 0s linux-sandbox ... (8 actions running) +[136 / 227] Compiling main.cc; 1s linux-sandbox ... (8 actions running) +[138 / 227] Compiling main.cc; 4s linux-sandbox ... (7 actions, 6 running) +[144 / 227] Compiling main.cc; 1s linux-sandbox ... (8 actions running) +[145 / 227] Compiling main.cc; 4s linux-sandbox ... (8 actions, 7 running) +[152 / 227] Compiling main.cc; 4s linux-sandbox ... (8 actions running) +[160 / 227] Compiling main.cc; 1s linux-sandbox ... (8 actions running) +[163 / 227] Compiling main.cc; 4s linux-sandbox ... (8 actions running) +[168 / 227] Compiling main.cc; 4s linux-sandbox ... (8 actions running) +[177 / 227] Compiling main.cc; 4s linux-sandbox ... (8 actions running) +[184 / 227] Linking zigzag-49; 5s linux-sandbox ... (8 actions running) +[198 / 227] Linking zigzag-30; 4s linux-sandbox ... (8 actions running) +[208 / 227] Linking zigzag-48; 4s linux-sandbox ... (8 actions, 7 running) +[241 / 256] Compiling main.cc; 4s linux-sandbox ... (8 actions, 7 running) +[282 / 296] Compiling main.cc; 5s linux-sandbox ... (7 actions, 6 running) +INFO: Elapsed time: 89.776s, Critical Path: 12.01s INFO: 321 processes: 193 internal, 128 linux-sandbox. INFO: Build completed successfully, 321 total actions INFO: Build completed successfully, 321 total actions -[ perf record: Woken up 59557 times to write data ] +[ perf record: Woken up 14759 times to write data ] Warning: -Processed 2623838 events and lost 258 chunks! +Processed 2503219 events and lost 273 chunks! Check IO/CPU overload! -[ perf record: Captured and wrote 19397.157 MB /home/motiejus/llvm.data (2387646 samples) ] +[ perf record: Captured and wrote 18909.660 MB /home/motiejus/llvm.data (2322388 samples) ] + _build zigcc-reuse-sandbox-dirs --experimental_reuse_sandbox_directories --platforms=@zig_sdk//libc_aware/platform:linux_amd64_gnu.2.28 + name=zigcc-reuse-sandbox-dirs + shift @@ -299,16 +308,16 @@ INFO: Reading rc options for 'build' from /code/test-zigcc/.bazelrc: 'build' options: --announce_rc --incompatible_enable_cc_toolchain_resolution --action_env BAZEL_DO_NOT_DETECT_CPP_TOOLCHAIN=1 Loading: Loading: 0 packages loaded -Analyzing: target //:zigzag-0 (1 packages loaded) +Loading: 0 packages loaded Analyzing: target //:zigzag-0 (1 packages loaded, 0 targets configured) -Analyzing: target //:zigzag-0 (37 packages loaded, 136 targets configured) -INFO: Analyzed target //:zigzag-0 (41 packages loaded, 14770 targets configured). +Analyzing: target //:zigzag-0 (37 packages loaded, 138 targets configured) +INFO: Analyzed target //:zigzag-0 (41 packages loaded, 3919 targets configured). INFO: Found 1 target... [1 / 5] [Prepa] BazelWorkspaceStatusAction stable-status.txt [3 / 5] [Scann] Compiling main.cc Target //:zigzag-0 up-to-date: bazel-bin/zigzag-0 -INFO: Elapsed time: 6.816s, Critical Path: 0.75s +INFO: Elapsed time: 7.220s, Critical Path: 1.02s INFO: 4 processes: 2 internal, 2 linux-sandbox. INFO: Build completed successfully, 4 total actions INFO: Build completed successfully, 4 total actions @@ -332,31 +341,35 @@ Loading: Loading: 0 packages loaded Loading: 0 packages loaded Analyzing: 64 targets (1 packages loaded, 0 targets configured) -Analyzing: 64 targets (37 packages loaded, 138 targets configured) -INFO: Analyzed 64 targets (41 packages loaded, 14833 targets configured). +Analyzing: 64 targets (37 packages loaded, 133 targets configured) +Analyzing: 64 targets (41 packages loaded, 3982 targets configured) +INFO: Analyzed 64 targets (41 packages loaded, 3982 targets configured). INFO: Found 64 targets... INFO: Deleting stale sandbox base /home/motiejus/.cache/bazel/_bazel_motiejus/e63a0563bdc05cf337d03dd7622788e2/sandbox -[0 / 26] [Prepa] Creating source manifest for //:zigzag-1 ... (5 actions, 0 running) -[31 / 60] checking cached actions -[97 / 196] Compiling main.cc; 0s linux-sandbox ... (8 actions running) -[97 / 196] Compiling main.cc; 1s linux-sandbox ... (8 actions running) -[113 / 196] Compiling main.cc; 0s linux-sandbox ... (6 actions, 5 running) -[124 / 196] Linking zigzag-9; 0s linux-sandbox ... (8 actions running) -[140 / 196] Linking zigzag-53; 0s linux-sandbox ... (8 actions running) -[163 / 196] Linking zigzag-0; 0s linux-sandbox ... (3 actions running) -[189 / 201] Compiling main.cc; 0s linux-sandbox ... (8 actions running) -[229 / 240] Linking zigzag-31; 0s linux-sandbox ... (6 actions, 5 running) -INFO: Elapsed time: 18.464s, Critical Path: 2.61s +[0 / 19] [Prepa] Creating source manifest for //:zigzag-0 +[105 / 224] Creating runfiles tree bazel-out/k8-fastbuild/bin/zigzag-8.runfiles; 0s local ... (7 actions, 6 running) +[135 / 257] Compiling main.cc; 0s linux-sandbox ... (6 actions, 5 running) +[138 / 257] Linking zigzag-18; 1s linux-sandbox ... (7 actions running) +[138 / 257] Linking zigzag-18; 3s linux-sandbox ... (8 actions running) +[147 / 257] Linking zigzag-52; 1s linux-sandbox ... (8 actions, 7 running) +[157 / 257] Linking zigzag-50; 1s linux-sandbox ... (8 actions, 7 running) +[171 / 257] Linking zigzag-55; 1s linux-sandbox ... (8 actions, 7 running) +[182 / 257] Compiling main.cc; 0s linux-sandbox ... (8 actions, 7 running) +[190 / 257] Linking zigzag-40; 2s linux-sandbox ... (8 actions, 7 running) +[205 / 257] Linking zigzag-47; 2s linux-sandbox ... (8 actions, 7 running) +[221 / 257] Linking zigzag-46; 2s linux-sandbox ... (8 actions running) +[244 / 257] Linking zigzag-63; 2s linux-sandbox ... (8 actions, 7 running) +INFO: Elapsed time: 34.777s, Critical Path: 4.71s INFO: 257 processes: 129 internal, 128 linux-sandbox. INFO: Build completed successfully, 257 total actions INFO: Build completed successfully, 257 total actions -[ perf record: Woken up 15087 times to write data ] +[ perf record: Woken up 15833 times to write data ] Warning: -Processed 769666 events and lost 397 chunks! +Processed 859807 events and lost 1020 chunks! Check IO/CPU overload! -[ perf record: Captured and wrote 4360.355 MB /home/motiejus/zigcc-reuse-sandbox-dirs.data (539575 samples) ] +[ perf record: Captured and wrote 5203.030 MB /home/motiejus/zigcc-reuse-sandbox-dirs.data (643606 samples) ] + _build llvm-reuse-sandbox-dirs --experimental_reuse_sandbox_directories --extra_toolchains=@llvm_toolchain_with_sysroot//:cc-toolchain-x86_64-linux + name=llvm-reuse-sandbox-dirs + shift @@ -370,18 +383,21 @@ INFO: Reading rc options for 'build' from /code/test-zigcc/.bazelrc: 'build' options: --announce_rc --incompatible_enable_cc_toolchain_resolution --action_env BAZEL_DO_NOT_DETECT_CPP_TOOLCHAIN=1 Loading: Loading: 0 packages loaded -Analyzing: target //:zigzag-0 (1 packages loaded) +Loading: 0 packages loaded +Loading: 0 packages loaded Analyzing: target //:zigzag-0 (1 packages loaded, 0 targets configured) -INFO: Analyzed target //:zigzag-0 (42 packages loaded, 16713 targets configured). - +Analyzing: target //:zigzag-0 (41 packages loaded, 323 targets configured) +Analyzing: target //:zigzag-0 (42 packages loaded, 8239 targets configured) +INFO: Analyzed target //:zigzag-0 (42 packages loaded, 8250 targets configured). INFO: Found 1 target... INFO: Deleting stale sandbox base /home/motiejus/.cache/bazel/_bazel_motiejus/e63a0563bdc05cf337d03dd7622788e2/sandbox -[0 / 6] [Prepa] BazelWorkspaceStatusAction stable-status.txt + +[0 / 6] [Prepa] Writing file zigzag-0-2.params [3 / 6] checking cached actions -[3 / 6] [Scann] Compiling main.cc +[3 / 6] [Prepa] Creating runfiles tree bazel-out/k8-fastbuild/bin/zigzag-0.runfiles Target //:zigzag-0 up-to-date: bazel-bin/zigzag-0 -INFO: Elapsed time: 10.486s, Critical Path: 0.68s +INFO: Elapsed time: 12.737s, Critical Path: 0.64s INFO: 5 processes: 3 internal, 2 linux-sandbox. INFO: Build completed successfully, 5 total actions INFO: Build completed successfully, 5 total actions @@ -405,29 +421,30 @@ Loading: Loading: 0 packages loaded Loading: 0 packages loaded Analyzing: 64 targets (1 packages loaded, 0 targets configured) -Analyzing: 64 targets (42 packages loaded, 16702 targets configured) -INFO: Analyzed 64 targets (42 packages loaded, 16776 targets configured). +Analyzing: 64 targets (42 packages loaded, 7417 targets configured) +INFO: Analyzed 64 targets (42 packages loaded, 8313 targets configured). INFO: Found 64 targets... INFO: Deleting stale sandbox base /home/motiejus/.cache/bazel/_bazel_motiejus/e63a0563bdc05cf337d03dd7622788e2/sandbox -[0 / 20] [Prepa] Creating source manifest for //:zigzag-1 ... (2 actions, 0 running) -[5 / 20] checking cached actions -[5 / 20] [Scann] Compiling main.cc -[24 / 41] Compiling main.cc; 1s linux-sandbox ... (8 actions running) -[32 / 41] checking cached actions -[71 / 81] Compiling main.cc; 0s linux-sandbox ... (5 actions, 4 running) -[146 / 161] Compiling main.cc; 0s linux-sandbox ... (8 actions, 7 running) -[230 / 241] Compiling main.cc; 0s linux-sandbox ... (7 actions, 6 running) -INFO: Elapsed time: 16.835s, Critical Path: 2.96s +[0 / 18] [Prepa] BazelWorkspaceStatusAction stable-status.txt +[6 / 18] checking cached actions +[6 / 18] [Prepa] Creating runfiles tree bazel-out/k8-fastbuild/bin/zigzag-10.runfiles +[37 / 64] Creating runfiles tree bazel-out/k8-fastbuild/bin/zigzag-17.runfiles; 0s local ... (2 actions running) +[198 / 321] Compiling main.cc; 1s linux-sandbox ... (4 actions, 3 running) +[213 / 321] Compiling main.cc; 0s linux-sandbox ... (6 actions, 5 running) +[239 / 321] Compiling main.cc; 0s linux-sandbox ... (7 actions, 6 running) +[251 / 321] Compiling main.cc; 0s linux-sandbox ... (8 actions, 7 running) +[282 / 321] Linking zigzag-58; 0s linux-sandbox ... (6 actions, 5 running) +INFO: Elapsed time: 18.335s, Critical Path: 2.00s INFO: 321 processes: 193 internal, 128 linux-sandbox. INFO: Build completed successfully, 321 total actions INFO: Build completed successfully, 321 total actions -[ perf record: Woken up 12891 times to write data ] +[ perf record: Woken up 9718 times to write data ] Warning: -Processed 523396 events and lost 131 chunks! +Processed 454874 events and lost 337 chunks! Check IO/CPU overload! -[ perf record: Captured and wrote 3641.611 MB /home/motiejus/llvm-reuse-sandbox-dirs.data (451910 samples) ] +[ perf record: Captured and wrote 3122.995 MB /home/motiejus/llvm-reuse-sandbox-dirs.data (387943 samples) ] + parallel -v --eta + for d in $HOME/*.data ++ basename /home/motiejus/llvm.data @@ -458,40 +475,40 @@ Computers / CPU cores / Max jobs to run 1:local / 8 / 6 Computer:jobs running/jobs completed/%of started jobs/Average seconds to complete - ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s perf script -i /home/motiejus/zigcc-nosandbox.data | inferno-collapse-perf | inferno-flamegraph > results/zigcc-nosandbox.svg + ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s ETA: 0s Left: 6 AVG: 0.00s local:6/0/100%/0.0s perf script -i /home/motiejus/zigcc-nosandbox.data | inferno-collapse-perf | inferno-flamegraph > results/zigcc-nosandbox.svg Warning: -Processed 511968 events and lost 128 chunks! +Processed 687698 events and lost 521 chunks! Check IO/CPU overload! - ETA: 0s Left: 5 AVG: 0.00s local:5/1/100%/563.0s ETA: 0s Left: 5 AVG: 0.00s local:5/1/100%/563.0s ETA: 5s Left: 5 AVG: 1.00s local:5/1/100%/564.0s ETA: 5s Left: 5 AVG: 2.00s local:5/1/100%/565.0s ETA: 6s Left: 5 AVG: 2.00s local:5/1/100%/565.0s ETA: 7s Left: 5 AVG: 3.00s local:5/1/100%/566.0s ETA: 9s Left: 5 AVG: 4.00s local:5/1/100%/567.0s ETA: 12s Left: 5 AVG: 5.00s local:5/1/100%/568.0s ETA: 14s Left: 5 AVG: 5.00s local:5/1/100%/568.0s ETA: 17s Left: 5 AVG: 7.00s local:5/1/100%/570.0s ETA: 21s Left: 5 AVG: 8.00s local:5/1/100%/571.0s ETA: 25s Left: 5 AVG: 9.00s local:5/1/100%/572.0s ETA: 29s Left: 5 AVG: 10.00s local:5/1/100%/573.0s ETA: 33s Left: 5 AVG: 11.00s local:5/1/100%/574.0s ETA: 38s Left: 5 AVG: 12.00s local:5/1/100%/575.0s ETA: 42s Left: 5 AVG: 13.00s local:5/1/100%/576.0s ETA: 47s Left: 5 AVG: 14.00s local:5/1/100%/577.0s ETA: 51s Left: 5 AVG: 15.00s local:5/1/100%/578.0s ETA: 56s Left: 5 AVG: 16.00s local:5/1/100%/579.0s ETA: 61s Left: 5 AVG: 17.00s local:5/1/100%/580.0s ETA: 66s Left: 5 AVG: 18.00s local:5/1/100%/581.0s ETA: 70s Left: 5 AVG: 19.00s local:5/1/100%/582.0s ETA: 75s Left: 5 AVG: 20.00s local:5/1/100%/583.0s ETA: 80s Left: 5 AVG: 21.00s local:5/1/100%/584.0s ETA: 85s Left: 5 AVG: 22.00s local:5/1/100%/585.0s ETA: 90s Left: 5 AVG: 23.00s local:5/1/100%/586.0s ETA: 95s Left: 5 AVG: 24.00s local:5/1/100%/587.0s ETA: 100s Left: 5 AVG: 25.00s local:5/1/100%/588.0s ETA: 105s Left: 5 AVG: 26.00s local:5/1/100%/589.0s ETA: 110s Left: 5 AVG: 27.00s local:5/1/100%/590.0s ETA: 115s Left: 5 AVG: 28.00s local:5/1/100%/591.0s ETA: 120s Left: 5 AVG: 29.00s local:5/1/100%/592.0s ETA: 125s Left: 5 AVG: 30.00s local:5/1/100%/593.0s ETA: 130s Left: 5 AVG: 31.00s local:5/1/100%/594.0s ETA: 135s Left: 5 AVG: 33.00s local:5/1/100%/596.0s ETA: 141s Left: 5 AVG: 34.00s local:5/1/100%/597.0s ETA: 147s Left: 5 AVG: 35.00s local:5/1/100%/598.0s ETA: 152s Left: 5 AVG: 36.00s local:5/1/100%/599.0s ETA: 158s Left: 5 AVG: 37.00s local:5/1/100%/600.0s ETA: 163s Left: 5 AVG: 38.00s local:5/1/100%/601.0s ETA: 168s Left: 5 AVG: 39.00s local:5/1/100%/602.0s ETA: 173s Left: 5 AVG: 40.00s local:5/1/100%/603.0s ETA: 179s Left: 5 AVG: 41.00s local:5/1/100%/604.0s ETA: 184s Left: 5 AVG: 42.00s local:5/1/100%/605.0s ETA: 189s Left: 5 AVG: 43.00s local:5/1/100%/606.0s ETA: 194s Left: 5 AVG: 44.00s local:5/1/100%/607.0s ETA: 199s Left: 5 AVG: 45.00s local:5/1/100%/608.0s ETA: 204s Left: 5 AVG: 46.00s local:5/1/100%/609.0s ETA: 209s Left: 5 AVG: 47.00s local:5/1/100%/610.0s ETA: 214s Left: 5 AVG: 48.00s local:5/1/100%/611.0s ETA: 219s Left: 5 AVG: 49.00s local:5/1/100%/612.0s ETA: 224s Left: 5 AVG: 50.00s local:5/1/100%/613.0s ETA: 229s Left: 5 AVG: 51.00s local:5/1/100%/614.0s ETA: 234s Left: 5 AVG: 52.00s local:5/1/100%/615.0s ETA: 239s Left: 5 AVG: 53.00s local:5/1/100%/616.0s ETA: 244s Left: 5 AVG: 54.00s local:5/1/100%/617.0s ETA: 249s Left: 5 AVG: 55.00s local:5/1/100%/618.0s ETA: 254s Left: 5 AVG: 56.00s local:5/1/100%/619.0s ETA: 259s Left: 5 AVG: 57.00s local:5/1/100%/620.0s ETA: 265s Left: 5 AVG: 59.00s local:5/1/100%/622.0s ETA: 271s Left: 5 AVG: 60.00s local:5/1/100%/623.0s ETA: 277s Left: 5 AVG: 61.00s local:5/1/100%/624.0s ETA: 282s Left: 5 AVG: 62.00s local:5/1/100%/625.0s ETA: 287s Left: 5 AVG: 63.00s local:5/1/100%/626.0s ETA: 293s Left: 5 AVG: 64.00s local:5/1/100%/627.0s ETA: 298s Left: 5 AVG: 65.00s local:5/1/100%/628.0s ETA: 303s Left: 5 AVG: 66.00s local:5/1/100%/629.0s ETA: 309s Left: 5 AVG: 67.00s local:5/1/100%/630.0s ETA: 314s Left: 5 AVG: 68.00s local:5/1/100%/631.0s ETA: 319s Left: 5 AVG: 69.00s local:5/1/100%/632.0s ETA: 324s Left: 5 AVG: 70.00s local:5/1/100%/633.0s ETA: 329s Left: 5 AVG: 71.00s local:5/1/100%/634.0s ETA: 334s Left: 5 AVG: 72.00s local:5/1/100%/635.0s ETA: 339s Left: 5 AVG: 73.00s local:5/1/100%/636.0s ETA: 344s Left: 5 AVG: 74.00s local:5/1/100%/637.0s ETA: 349s Left: 5 AVG: 75.00s local:5/1/100%/638.0s ETA: 354s Left: 5 AVG: 76.00s local:5/1/100%/639.0s ETA: 359s Left: 5 AVG: 77.00s local:5/1/100%/640.0s ETA: 364s Left: 5 AVG: 78.00s local:5/1/100%/641.0s ETA: 369s Left: 5 AVG: 79.00s local:5/1/100%/642.0s ETA: 374s Left: 5 AVG: 80.00s local:5/1/100%/643.0s ETA: 379s Left: 5 AVG: 81.00s local:5/1/100%/644.0s ETA: 384s Left: 5 AVG: 82.00s local:5/1/100%/645.0s ETA: 389s Left: 5 AVG: 83.00s local:5/1/100%/646.0s ETA: 395s Left: 5 AVG: 85.00s local:5/1/100%/648.0s ETA: 401s Left: 5 AVG: 86.00s local:5/1/100%/649.0s ETA: 407s Left: 5 AVG: 87.00s local:5/1/100%/650.0s ETA: 412s Left: 5 AVG: 88.00s local:5/1/100%/651.0s ETA: 417s Left: 5 AVG: 89.00s local:5/1/100%/652.0s ETA: 422s Left: 5 AVG: 89.00s local:5/1/100%/652.0s perf script -i /home/motiejus/zigcc-reuse-sandbox-dirs.data | inferno-collapse-perf | inferno-flamegraph > results/zigcc-reuse-sandbox-dirs.svg + ETA: 0s Left: 5 AVG: 0.00s local:5/1/100%/1036.0s ETA: 0s Left: 5 AVG: 0.00s local:5/1/100%/1036.0s ETA: 0s Left: 5 AVG: 0.00s local:5/1/100%/1036.0s ETA: 5s Left: 5 AVG: 1.00s local:5/1/100%/1037.0s ETA: 5s Left: 5 AVG: 1.00s local:5/1/100%/1037.0s ETA: 5s Left: 5 AVG: 2.00s local:5/1/100%/1038.0s ETA: 7s Left: 5 AVG: 3.00s local:5/1/100%/1039.0s ETA: 9s Left: 5 AVG: 4.00s local:5/1/100%/1040.0s ETA: 12s Left: 5 AVG: 5.00s local:5/1/100%/1041.0s ETA: 15s Left: 5 AVG: 6.00s local:5/1/100%/1042.0s ETA: 18s Left: 5 AVG: 7.00s local:5/1/100%/1043.0s ETA: 21s Left: 5 AVG: 8.00s local:5/1/100%/1044.0s ETA: 25s Left: 5 AVG: 9.00s local:5/1/100%/1045.0s ETA: 29s Left: 5 AVG: 10.00s local:5/1/100%/1046.0s ETA: 34s Left: 5 AVG: 11.00s local:5/1/100%/1047.0s ETA: 38s Left: 5 AVG: 12.00s local:5/1/100%/1048.0s ETA: 38s Left: 5 AVG: 13.00s local:5/1/100%/1049.0s ETA: 47s Left: 5 AVG: 14.00s local:5/1/100%/1050.0s ETA: 47s Left: 5 AVG: 15.00s local:5/1/100%/1051.0s ETA: 56s Left: 5 AVG: 16.00s local:5/1/100%/1052.0s ETA: 56s Left: 5 AVG: 17.00s local:5/1/100%/1053.0s ETA: 66s Left: 5 AVG: 18.00s local:5/1/100%/1054.0s ETA: 66s Left: 5 AVG: 19.00s local:5/1/100%/1055.0s ETA: 75s Left: 5 AVG: 20.00s local:5/1/100%/1056.0s ETA: 75s Left: 5 AVG: 21.00s local:5/1/100%/1057.0s ETA: 86s Left: 5 AVG: 23.00s local:5/1/100%/1059.0s ETA: 86s Left: 5 AVG: 24.00s local:5/1/100%/1060.0s ETA: 97s Left: 5 AVG: 25.00s local:5/1/100%/1061.0s ETA: 97s Left: 5 AVG: 26.00s local:5/1/100%/1062.0s ETA: 108s Left: 5 AVG: 27.00s local:5/1/100%/1063.0s ETA: 108s Left: 5 AVG: 28.00s local:5/1/100%/1064.0s ETA: 108s Left: 5 AVG: 29.00s local:5/1/100%/1065.0s ETA: 123s Left: 5 AVG: 30.00s local:5/1/100%/1066.0s ETA: 123s Left: 5 AVG: 31.00s local:5/1/100%/1067.0s ETA: 123s Left: 5 AVG: 32.00s local:5/1/100%/1068.0s ETA: 139s Left: 5 AVG: 33.00s local:5/1/100%/1069.0s ETA: 139s Left: 5 AVG: 34.00s local:5/1/100%/1070.0s ETA: 139s Left: 5 AVG: 35.00s local:5/1/100%/1071.0s ETA: 139s Left: 5 AVG: 36.00s local:5/1/100%/1072.0s ETA: 159s Left: 5 AVG: 37.00s local:5/1/100%/1073.0s ETA: 159s Left: 5 AVG: 38.00s local:5/1/100%/1074.0s ETA: 159s Left: 5 AVG: 39.00s local:5/1/100%/1075.0s ETA: 159s Left: 5 AVG: 40.00s local:5/1/100%/1076.0s ETA: 179s Left: 5 AVG: 41.00s local:5/1/100%/1077.0s perf script -i /home/motiejus/zigcc.data | inferno-collapse-perf | inferno-flamegraph > results/zigcc.svg Warning: -Processed 769666 events and lost 397 chunks! +Processed 1194215 events and lost 988 chunks! Check IO/CPU overload! - ETA: 284s Left: 4 AVG: 44.50s local:4/2/100%/326.0s ETA: 249s Left: 4 AVG: 44.50s local:4/2/100%/326.0s ETA: 225s Left: 4 AVG: 44.50s local:4/2/100%/326.0s ETA: 210s Left: 4 AVG: 45.00s local:4/2/100%/326.5s ETA: 200s Left: 4 AVG: 45.00s local:4/2/100%/326.5s ETA: 194s Left: 4 AVG: 45.50s local:4/2/100%/327.0s ETA: 190s Left: 4 AVG: 46.00s local:4/2/100%/327.5s ETA: 189s Left: 4 AVG: 46.50s local:4/2/100%/328.0s ETA: 188s Left: 4 AVG: 47.00s local:4/2/100%/328.5s ETA: 189s Left: 4 AVG: 47.50s local:4/2/100%/329.0s ETA: 190s Left: 4 AVG: 48.00s local:4/2/100%/329.5s ETA: 191s Left: 4 AVG: 48.50s local:4/2/100%/330.0s ETA: 192s Left: 4 AVG: 49.00s local:4/2/100%/330.5s ETA: 194s Left: 4 AVG: 49.50s local:4/2/100%/331.0s ETA: 196s Left: 4 AVG: 50.00s local:4/2/100%/331.5s ETA: 198s Left: 4 AVG: 50.50s local:4/2/100%/332.0s ETA: 200s Left: 4 AVG: 51.00s local:4/2/100%/332.5s ETA: 202s Left: 4 AVG: 51.50s local:4/2/100%/333.0s ETA: 204s Left: 4 AVG: 52.00s local:4/2/100%/333.5s ETA: 206s Left: 4 AVG: 52.50s local:4/2/100%/334.0s ETA: 208s Left: 4 AVG: 53.00s local:4/2/100%/334.5s ETA: 210s Left: 4 AVG: 53.50s local:4/2/100%/335.0s ETA: 212s Left: 4 AVG: 54.00s local:4/2/100%/335.5s ETA: 214s Left: 4 AVG: 54.50s local:4/2/100%/336.0s ETA: 216s Left: 4 AVG: 55.00s local:4/2/100%/336.5s ETA: 218s Left: 4 AVG: 55.50s local:4/2/100%/337.0s ETA: 220s Left: 4 AVG: 56.00s local:4/2/100%/337.5s ETA: 222s Left: 4 AVG: 56.50s local:4/2/100%/338.0s ETA: 224s Left: 4 AVG: 57.00s local:4/2/100%/338.5s ETA: 226s Left: 4 AVG: 57.50s local:4/2/100%/339.0s ETA: 228s Left: 4 AVG: 58.00s local:4/2/100%/339.5s ETA: 230s Left: 4 AVG: 58.50s local:4/2/100%/340.0s ETA: 232s Left: 4 AVG: 59.00s local:4/2/100%/340.5s ETA: 234s Left: 4 AVG: 59.50s local:4/2/100%/341.0s ETA: 236s Left: 4 AVG: 60.00s local:4/2/100%/341.5s perf script -i /home/motiejus/zigcc.data | inferno-collapse-perf | inferno-flamegraph > results/zigcc.svg + ETA: 123s Left: 4 AVG: 20.50s local:4/2/100%/538.5s ETA: 109s Left: 4 AVG: 20.50s local:4/2/100%/538.5s ETA: 100s Left: 4 AVG: 20.50s local:4/2/100%/538.5s ETA: 94s Left: 4 AVG: 21.00s local:4/2/100%/539.0s ETA: 91s Left: 4 AVG: 21.00s local:4/2/100%/539.0s ETA: 89s Left: 4 AVG: 21.50s local:4/2/100%/539.5s ETA: 89s Left: 4 AVG: 22.00s local:4/2/100%/540.0s ETA: 89s Left: 4 AVG: 22.50s local:4/2/100%/540.5s ETA: 89s Left: 4 AVG: 23.00s local:4/2/100%/541.0s ETA: 89s Left: 4 AVG: 23.50s local:4/2/100%/541.5s ETA: 89s Left: 4 AVG: 24.00s local:4/2/100%/542.0s ETA: 89s Left: 4 AVG: 24.50s local:4/2/100%/542.5s ETA: 89s Left: 4 AVG: 25.00s local:4/2/100%/543.0s ETA: 89s Left: 4 AVG: 25.50s local:4/2/100%/543.5s ETA: 100s Left: 4 AVG: 26.00s local:4/2/100%/544.0s ETA: 100s Left: 4 AVG: 26.50s local:4/2/100%/544.5s ETA: 100s Left: 4 AVG: 27.00s local:4/2/100%/545.0s ETA: 100s Left: 4 AVG: 27.50s local:4/2/100%/545.5s ETA: 100s Left: 4 AVG: 28.00s local:4/2/100%/546.0s ETA: 100s Left: 4 AVG: 28.50s local:4/2/100%/546.5s ETA: 112s Left: 4 AVG: 29.00s local:4/2/100%/547.0s ETA: 112s Left: 4 AVG: 29.50s local:4/2/100%/547.5s ETA: 112s Left: 4 AVG: 30.00s local:4/2/100%/548.0s ETA: 112s Left: 4 AVG: 30.50s local:4/2/100%/548.5s ETA: 112s Left: 4 AVG: 31.00s local:4/2/100%/549.0s ETA: 112s Left: 4 AVG: 31.50s local:4/2/100%/549.5s ETA: 112s Left: 4 AVG: 32.00s local:4/2/100%/550.0s ETA: 125s Left: 4 AVG: 32.00s local:4/2/100%/550.0s perf script -i /home/motiejus/zigcc-reuse-sandbox-dirs.data | inferno-collapse-perf | inferno-flamegraph > results/zigcc-reuse-sandbox-dirs.svg Warning: -Processed 2695780 events and lost 269 chunks! +Processed 859807 events and lost 1020 chunks! Check IO/CPU overload! - ETA: 148s Left: 3 AVG: 40.00s local:3/3/100%/227.7s ETA: 134s Left: 3 AVG: 40.00s local:3/3/100%/227.7s ETA: 127s Left: 3 AVG: 40.00s local:3/3/100%/227.7s ETA: 124s Left: 3 AVG: 40.33s local:3/3/100%/228.0s ETA: 122s Left: 3 AVG: 40.33s local:3/3/100%/228.0s ETA: 122s Left: 3 AVG: 40.67s local:3/3/100%/228.3s ETA: 122s Left: 3 AVG: 41.00s local:3/3/100%/228.7s ETA: 123s Left: 3 AVG: 41.33s local:3/3/100%/229.0s ETA: 124s Left: 3 AVG: 41.67s local:3/3/100%/229.3s ETA: 125s Left: 3 AVG: 42.00s local:3/3/100%/229.7s ETA: 126s Left: 3 AVG: 42.33s local:3/3/100%/230.0s ETA: 127s Left: 3 AVG: 42.67s local:3/3/100%/230.3s ETA: 128s Left: 3 AVG: 43.00s local:3/3/100%/230.7s ETA: 129s Left: 3 AVG: 43.33s local:3/3/100%/231.0s ETA: 130s Left: 3 AVG: 43.67s local:3/3/100%/231.3s ETA: 131s Left: 3 AVG: 44.00s local:3/3/100%/231.7s ETA: 132s Left: 3 AVG: 44.33s local:3/3/100%/232.0s ETA: 133s Left: 3 AVG: 44.67s local:3/3/100%/232.3s ETA: 134s Left: 3 AVG: 45.00s local:3/3/100%/232.7s ETA: 135s Left: 3 AVG: 45.33s local:3/3/100%/233.0s ETA: 136s Left: 3 AVG: 45.67s local:3/3/100%/233.3s ETA: 137s Left: 3 AVG: 46.33s local:3/3/100%/234.0s ETA: 138s Left: 3 AVG: 46.67s local:3/3/100%/234.3s ETA: 139s Left: 3 AVG: 47.00s local:3/3/100%/234.7s ETA: 140s Left: 3 AVG: 47.33s local:3/3/100%/235.0s ETA: 141s Left: 3 AVG: 47.67s local:3/3/100%/235.3s ETA: 142s Left: 3 AVG: 48.00s local:3/3/100%/235.7s ETA: 143s Left: 3 AVG: 48.33s local:3/3/100%/236.0s ETA: 144s Left: 3 AVG: 48.67s local:3/3/100%/236.3s ETA: 145s Left: 3 AVG: 49.00s local:3/3/100%/236.7s ETA: 146s Left: 3 AVG: 49.33s local:3/3/100%/237.0s ETA: 147s Left: 3 AVG: 49.67s local:3/3/100%/237.3s ETA: 148s Left: 3 AVG: 50.00s local:3/3/100%/237.7s ETA: 150s Left: 3 AVG: 50.67s local:3/3/100%/238.3s ETA: 151s Left: 3 AVG: 51.00s local:3/3/100%/238.7s ETA: 152s Left: 3 AVG: 51.33s local:3/3/100%/239.0s ETA: 153s Left: 3 AVG: 51.67s local:3/3/100%/239.3s ETA: 154s Left: 3 AVG: 52.00s local:3/3/100%/239.7s ETA: 155s Left: 3 AVG: 52.33s local:3/3/100%/240.0s ETA: 156s Left: 3 AVG: 52.67s local:3/3/100%/240.3s ETA: 157s Left: 3 AVG: 53.00s local:3/3/100%/240.7s ETA: 158s Left: 3 AVG: 53.33s local:3/3/100%/241.0s ETA: 159s Left: 3 AVG: 53.67s local:3/3/100%/241.3s ETA: 160s Left: 3 AVG: 54.00s local:3/3/100%/241.7s ETA: 161s Left: 3 AVG: 54.33s local:3/3/100%/242.0s ETA: 163s Left: 3 AVG: 55.00s local:3/3/100%/242.7s ETA: 164s Left: 3 AVG: 55.33s local:3/3/100%/243.0s ETA: 165s Left: 3 AVG: 55.67s local:3/3/100%/243.3s ETA: 166s Left: 3 AVG: 56.00s local:3/3/100%/243.7s ETA: 167s Left: 3 AVG: 56.33s local:3/3/100%/244.0s ETA: 168s Left: 3 AVG: 56.67s local:3/3/100%/244.3s ETA: 169s Left: 3 AVG: 57.00s local:3/3/100%/244.7s ETA: 170s Left: 3 AVG: 57.33s local:3/3/100%/245.0s ETA: 171s Left: 3 AVG: 57.67s local:3/3/100%/245.3s ETA: 172s Left: 3 AVG: 58.00s local:3/3/100%/245.7s ETA: 173s Left: 3 AVG: 58.33s local:3/3/100%/246.0s ETA: 174s Left: 3 AVG: 58.67s local:3/3/100%/246.3s ETA: 176s Left: 3 AVG: 59.33s local:3/3/100%/247.0s ETA: 177s Left: 3 AVG: 59.67s local:3/3/100%/247.3s ETA: 178s Left: 3 AVG: 60.00s local:3/3/100%/247.7s ETA: 179s Left: 3 AVG: 60.33s local:3/3/100%/248.0s ETA: 180s Left: 3 AVG: 60.67s local:3/3/100%/248.3s ETA: 181s Left: 3 AVG: 61.00s local:3/3/100%/248.7s ETA: 182s Left: 3 AVG: 61.33s local:3/3/100%/249.0s ETA: 183s Left: 3 AVG: 61.67s local:3/3/100%/249.3s ETA: 184s Left: 3 AVG: 62.00s local:3/3/100%/249.7s ETA: 185s Left: 3 AVG: 62.33s local:3/3/100%/250.0s ETA: 186s Left: 3 AVG: 62.67s local:3/3/100%/250.3s ETA: 187s Left: 3 AVG: 63.00s local:3/3/100%/250.7s ETA: 189s Left: 3 AVG: 63.67s local:3/3/100%/251.3s ETA: 190s Left: 3 AVG: 64.00s local:3/3/100%/251.7s ETA: 191s Left: 3 AVG: 64.33s local:3/3/100%/252.0s ETA: 192s Left: 3 AVG: 64.67s local:3/3/100%/252.3s ETA: 193s Left: 3 AVG: 65.00s local:3/3/100%/252.7s ETA: 194s Left: 3 AVG: 65.33s local:3/3/100%/253.0s ETA: 195s Left: 3 AVG: 65.67s local:3/3/100%/253.3s ETA: 196s Left: 3 AVG: 66.00s local:3/3/100%/253.7s ETA: 197s Left: 3 AVG: 66.33s local:3/3/100%/254.0s ETA: 198s Left: 3 AVG: 66.67s local:3/3/100%/254.3s ETA: 199s Left: 3 AVG: 67.00s local:3/3/100%/254.7s ETA: 200s Left: 3 AVG: 67.33s local:3/3/100%/255.0s ETA: 202s Left: 3 AVG: 68.00s local:3/3/100%/255.7s ETA: 203s Left: 3 AVG: 68.33s local:3/3/100%/256.0s ETA: 204s Left: 3 AVG: 68.67s local:3/3/100%/256.3s ETA: 205s Left: 3 AVG: 69.00s local:3/3/100%/256.7s ETA: 206s Left: 3 AVG: 69.33s local:3/3/100%/257.0s ETA: 207s Left: 3 AVG: 69.67s local:3/3/100%/257.3s ETA: 208s Left: 3 AVG: 70.00s local:3/3/100%/257.7s ETA: 209s Left: 3 AVG: 70.33s local:3/3/100%/258.0s ETA: 210s Left: 3 AVG: 70.67s local:3/3/100%/258.3s ETA: 211s Left: 3 AVG: 71.00s local:3/3/100%/258.7s ETA: 212s Left: 3 AVG: 71.33s local:3/3/100%/259.0s ETA: 213s Left: 3 AVG: 71.67s local:3/3/100%/259.3s ETA: 215s Left: 3 AVG: 72.33s local:3/3/100%/260.0s ETA: 216s Left: 3 AVG: 72.67s local:3/3/100%/260.3s ETA: 217s Left: 3 AVG: 73.00s local:3/3/100%/260.7s ETA: 218s Left: 3 AVG: 73.33s local:3/3/100%/261.0s ETA: 219s Left: 3 AVG: 73.67s local:3/3/100%/261.3s ETA: 220s Left: 3 AVG: 74.00s local:3/3/100%/261.7s ETA: 221s Left: 3 AVG: 74.33s local:3/3/100%/262.0s ETA: 222s Left: 3 AVG: 74.67s local:3/3/100%/262.3s ETA: 223s Left: 3 AVG: 75.00s local:3/3/100%/262.7s ETA: 224s Left: 3 AVG: 75.33s local:3/3/100%/263.0s ETA: 225s Left: 3 AVG: 75.67s local:3/3/100%/263.3s ETA: 226s Left: 3 AVG: 76.00s local:3/3/100%/263.7s ETA: 228s Left: 3 AVG: 76.67s local:3/3/100%/264.3s ETA: 229s Left: 3 AVG: 77.00s local:3/3/100%/264.7s ETA: 230s Left: 3 AVG: 77.33s local:3/3/100%/265.0s ETA: 231s Left: 3 AVG: 77.67s local:3/3/100%/265.3s ETA: 232s Left: 3 AVG: 78.00s local:3/3/100%/265.7s ETA: 233s Left: 3 AVG: 78.33s local:3/3/100%/266.0s ETA: 234s Left: 3 AVG: 78.67s local:3/3/100%/266.3s ETA: 235s Left: 3 AVG: 79.00s local:3/3/100%/266.7s ETA: 236s Left: 3 AVG: 79.33s local:3/3/100%/267.0s ETA: 237s Left: 3 AVG: 79.67s local:3/3/100%/267.3s ETA: 238s Left: 3 AVG: 80.00s local:3/3/100%/267.7s ETA: 240s Left: 3 AVG: 80.67s local:3/3/100%/268.3s ETA: 241s Left: 3 AVG: 81.00s local:3/3/100%/268.7s ETA: 242s Left: 3 AVG: 81.33s local:3/3/100%/269.0s ETA: 243s Left: 3 AVG: 81.67s local:3/3/100%/269.3s ETA: 244s Left: 3 AVG: 82.00s local:3/3/100%/269.7s ETA: 245s Left: 3 AVG: 82.33s local:3/3/100%/270.0s ETA: 246s Left: 3 AVG: 82.67s local:3/3/100%/270.3s ETA: 247s Left: 3 AVG: 83.00s local:3/3/100%/270.7s ETA: 248s Left: 3 AVG: 83.33s local:3/3/100%/271.0s ETA: 249s Left: 3 AVG: 83.67s local:3/3/100%/271.3s ETA: 250s Left: 3 AVG: 84.00s local:3/3/100%/271.7s ETA: 251s Left: 3 AVG: 84.33s local:3/3/100%/272.0s ETA: 253s Left: 3 AVG: 85.00s local:3/3/100%/272.7s ETA: 254s Left: 3 AVG: 85.33s local:3/3/100%/273.0s ETA: 255s Left: 3 AVG: 85.67s local:3/3/100%/273.3s ETA: 256s Left: 3 AVG: 86.00s local:3/3/100%/273.7s ETA: 257s Left: 3 AVG: 86.33s local:3/3/100%/274.0s ETA: 258s Left: 3 AVG: 86.67s local:3/3/100%/274.3s ETA: 259s Left: 3 AVG: 87.00s local:3/3/100%/274.7s ETA: 260s Left: 3 AVG: 87.33s local:3/3/100%/275.0s ETA: 261s Left: 3 AVG: 87.67s local:3/3/100%/275.3s ETA: 262s Left: 3 AVG: 88.00s local:3/3/100%/275.7s ETA: 263s Left: 3 AVG: 88.33s local:3/3/100%/276.0s ETA: 264s Left: 3 AVG: 88.67s local:3/3/100%/276.3s ETA: 266s Left: 3 AVG: 89.33s local:3/3/100%/277.0s ETA: 267s Left: 3 AVG: 89.67s local:3/3/100%/277.3s ETA: 268s Left: 3 AVG: 90.00s local:3/3/100%/277.7s ETA: 269s Left: 3 AVG: 90.33s local:3/3/100%/278.0s ETA: 270s Left: 3 AVG: 90.67s local:3/3/100%/278.3s ETA: 271s Left: 3 AVG: 91.00s local:3/3/100%/278.7s ETA: 272s Left: 3 AVG: 91.33s local:3/3/100%/279.0s ETA: 273s Left: 3 AVG: 91.67s local:3/3/100%/279.3s ETA: 274s Left: 3 AVG: 92.00s local:3/3/100%/279.7s ETA: 275s Left: 3 AVG: 92.33s local:3/3/100%/280.0s ETA: 276s Left: 3 AVG: 92.67s local:3/3/100%/280.3s ETA: 277s Left: 3 AVG: 93.00s local:3/3/100%/280.7s ETA: 278s Left: 3 AVG: 93.33s local:3/3/100%/281.0s perf script -i /home/motiejus/llvm-nosandbox.data | inferno-collapse-perf | inferno-flamegraph > results/llvm-nosandbox.svg + ETA: 79s Left: 3 AVG: 21.33s local:3/3/100%/366.7s ETA: 71s Left: 3 AVG: 21.33s local:3/3/100%/366.7s ETA: 68s Left: 3 AVG: 21.67s local:3/3/100%/367.0s ETA: 67s Left: 3 AVG: 22.00s local:3/3/100%/367.3s ETA: 66s Left: 3 AVG: 22.00s local:3/3/100%/367.3s ETA: 66s Left: 3 AVG: 22.33s local:3/3/100%/367.7s ETA: 66s Left: 3 AVG: 22.67s local:3/3/100%/368.0s ETA: 66s Left: 3 AVG: 22.67s local:3/3/100%/368.0s ETA: 66s Left: 3 AVG: 23.00s local:3/3/100%/368.3s ETA: 66s Left: 3 AVG: 23.33s local:3/3/100%/368.7s ETA: 66s Left: 3 AVG: 23.67s local:3/3/100%/369.0s ETA: 66s Left: 3 AVG: 24.00s local:3/3/100%/369.3s ETA: 66s Left: 3 AVG: 24.67s local:3/3/100%/370.0s ETA: 66s Left: 3 AVG: 25.00s local:3/3/100%/370.3s ETA: 74s Left: 3 AVG: 25.33s local:3/3/100%/370.7s ETA: 74s Left: 3 AVG: 25.67s local:3/3/100%/371.0s ETA: 74s Left: 3 AVG: 26.00s local:3/3/100%/371.3s ETA: 74s Left: 3 AVG: 26.33s local:3/3/100%/371.7s ETA: 74s Left: 3 AVG: 26.67s local:3/3/100%/372.0s ETA: 74s Left: 3 AVG: 27.00s local:3/3/100%/372.3s ETA: 74s Left: 3 AVG: 27.33s local:3/3/100%/372.7s ETA: 74s Left: 3 AVG: 27.67s local:3/3/100%/373.0s ETA: 83s Left: 3 AVG: 28.33s local:3/3/100%/373.7s ETA: 83s Left: 3 AVG: 28.67s local:3/3/100%/374.0s ETA: 83s Left: 3 AVG: 29.00s local:3/3/100%/374.3s ETA: 83s Left: 3 AVG: 29.33s local:3/3/100%/374.7s ETA: 83s Left: 3 AVG: 29.67s local:3/3/100%/375.0s ETA: 83s Left: 3 AVG: 30.00s local:3/3/100%/375.3s ETA: 83s Left: 3 AVG: 30.33s local:3/3/100%/375.7s ETA: 83s Left: 3 AVG: 30.67s local:3/3/100%/376.0s ETA: 83s Left: 3 AVG: 31.00s local:3/3/100%/376.3s ETA: 83s Left: 3 AVG: 31.33s local:3/3/100%/376.7s ETA: 94s Left: 3 AVG: 32.00s local:3/3/100%/377.3s ETA: 94s Left: 3 AVG: 32.33s local:3/3/100%/377.7s ETA: 94s Left: 3 AVG: 32.67s local:3/3/100%/378.0s ETA: 94s Left: 3 AVG: 33.00s local:3/3/100%/378.3s ETA: 94s Left: 3 AVG: 33.33s local:3/3/100%/378.7s ETA: 94s Left: 3 AVG: 33.67s local:3/3/100%/379.0s ETA: 94s Left: 3 AVG: 34.00s local:3/3/100%/379.3s ETA: 94s Left: 3 AVG: 34.33s local:3/3/100%/379.7s ETA: 94s Left: 3 AVG: 34.67s local:3/3/100%/380.0s ETA: 94s Left: 3 AVG: 35.00s local:3/3/100%/380.3s ETA: 105s Left: 3 AVG: 35.67s local:3/3/100%/381.0s ETA: 105s Left: 3 AVG: 36.00s local:3/3/100%/381.3s ETA: 105s Left: 3 AVG: 36.33s local:3/3/100%/381.7s ETA: 105s Left: 3 AVG: 36.67s local:3/3/100%/382.0s ETA: 105s Left: 3 AVG: 37.00s local:3/3/100%/382.3s ETA: 105s Left: 3 AVG: 37.33s local:3/3/100%/382.7s ETA: 105s Left: 3 AVG: 37.67s local:3/3/100%/383.0s ETA: 105s Left: 3 AVG: 38.00s local:3/3/100%/383.3s ETA: 105s Left: 3 AVG: 38.33s local:3/3/100%/383.7s ETA: 105s Left: 3 AVG: 38.67s local:3/3/100%/384.0s ETA: 105s Left: 3 AVG: 39.00s local:3/3/100%/384.3s ETA: 117s Left: 3 AVG: 39.67s local:3/3/100%/385.0s ETA: 117s Left: 3 AVG: 40.00s local:3/3/100%/385.3s ETA: 117s Left: 3 AVG: 40.33s local:3/3/100%/385.7s ETA: 117s Left: 3 AVG: 40.67s local:3/3/100%/386.0s ETA: 117s Left: 3 AVG: 41.00s local:3/3/100%/386.3s ETA: 117s Left: 3 AVG: 41.33s local:3/3/100%/386.7s ETA: 117s Left: 3 AVG: 41.67s local:3/3/100%/387.0s ETA: 117s Left: 3 AVG: 42.00s local:3/3/100%/387.3s ETA: 117s Left: 3 AVG: 42.33s local:3/3/100%/387.7s ETA: 117s Left: 3 AVG: 42.67s local:3/3/100%/388.0s ETA: 117s Left: 3 AVG: 43.00s local:3/3/100%/388.3s ETA: 117s Left: 3 AVG: 43.67s local:3/3/100%/389.0s ETA: 130s Left: 3 AVG: 44.00s local:3/3/100%/389.3s ETA: 130s Left: 3 AVG: 44.33s local:3/3/100%/389.7s ETA: 130s Left: 3 AVG: 44.67s local:3/3/100%/390.0s ETA: 130s Left: 3 AVG: 45.00s local:3/3/100%/390.3s ETA: 130s Left: 3 AVG: 45.33s local:3/3/100%/390.7s ETA: 130s Left: 3 AVG: 45.67s local:3/3/100%/391.0s ETA: 130s Left: 3 AVG: 46.00s local:3/3/100%/391.3s ETA: 130s Left: 3 AVG: 46.33s local:3/3/100%/391.7s ETA: 130s Left: 3 AVG: 46.67s local:3/3/100%/392.0s ETA: 130s Left: 3 AVG: 47.33s local:3/3/100%/392.7s ETA: 130s Left: 3 AVG: 47.67s local:3/3/100%/393.0s ETA: 130s Left: 3 AVG: 48.00s local:3/3/100%/393.3s ETA: 130s Left: 3 AVG: 48.33s local:3/3/100%/393.7s ETA: 130s Left: 3 AVG: 48.67s local:3/3/100%/394.0s ETA: 145s Left: 3 AVG: 49.00s local:3/3/100%/394.3s ETA: 145s Left: 3 AVG: 49.33s local:3/3/100%/394.7s ETA: 145s Left: 3 AVG: 49.67s local:3/3/100%/395.0s ETA: 145s Left: 3 AVG: 50.00s local:3/3/100%/395.3s ETA: 145s Left: 3 AVG: 50.33s local:3/3/100%/395.7s ETA: 145s Left: 3 AVG: 51.00s local:3/3/100%/396.3s ETA: 145s Left: 3 AVG: 51.33s local:3/3/100%/396.7s ETA: 145s Left: 3 AVG: 51.67s local:3/3/100%/397.0s ETA: 145s Left: 3 AVG: 52.00s local:3/3/100%/397.3s ETA: 145s Left: 3 AVG: 52.33s local:3/3/100%/397.7s ETA: 145s Left: 3 AVG: 52.67s local:3/3/100%/398.0s ETA: 145s Left: 3 AVG: 53.00s local:3/3/100%/398.3s ETA: 145s Left: 3 AVG: 53.33s local:3/3/100%/398.7s ETA: 145s Left: 3 AVG: 53.67s local:3/3/100%/399.0s ETA: 145s Left: 3 AVG: 54.00s local:3/3/100%/399.3s ETA: 145s Left: 3 AVG: 54.33s local:3/3/100%/399.7s ETA: 163s Left: 3 AVG: 55.00s local:3/3/100%/400.3s ETA: 163s Left: 3 AVG: 55.33s local:3/3/100%/400.7s ETA: 163s Left: 3 AVG: 55.67s local:3/3/100%/401.0s ETA: 163s Left: 3 AVG: 56.00s local:3/3/100%/401.3s ETA: 163s Left: 3 AVG: 56.33s local:3/3/100%/401.7s ETA: 163s Left: 3 AVG: 56.67s local:3/3/100%/402.0s ETA: 163s Left: 3 AVG: 57.00s local:3/3/100%/402.3s ETA: 163s Left: 3 AVG: 57.33s local:3/3/100%/402.7s ETA: 163s Left: 3 AVG: 57.67s local:3/3/100%/403.0s ETA: 163s Left: 3 AVG: 58.00s local:3/3/100%/403.3s ETA: 163s Left: 3 AVG: 58.33s local:3/3/100%/403.7s ETA: 163s Left: 3 AVG: 59.00s local:3/3/100%/404.3s ETA: 163s Left: 3 AVG: 59.33s local:3/3/100%/404.7s ETA: 163s Left: 3 AVG: 59.67s local:3/3/100%/405.0s ETA: 163s Left: 3 AVG: 60.00s local:3/3/100%/405.3s ETA: 163s Left: 3 AVG: 60.33s local:3/3/100%/405.7s ETA: 163s Left: 3 AVG: 60.67s local:3/3/100%/406.0s ETA: 163s Left: 3 AVG: 61.00s local:3/3/100%/406.3s ETA: 182s Left: 3 AVG: 61.33s local:3/3/100%/406.7s ETA: 182s Left: 3 AVG: 61.67s local:3/3/100%/407.0s ETA: 182s Left: 3 AVG: 62.00s local:3/3/100%/407.3s ETA: 182s Left: 3 AVG: 62.67s local:3/3/100%/408.0s ETA: 182s Left: 3 AVG: 63.00s local:3/3/100%/408.3s ETA: 182s Left: 3 AVG: 63.33s local:3/3/100%/408.7s ETA: 182s Left: 3 AVG: 63.67s local:3/3/100%/409.0s ETA: 182s Left: 3 AVG: 64.00s local:3/3/100%/409.3s ETA: 182s Left: 3 AVG: 64.33s local:3/3/100%/409.7s ETA: 182s Left: 3 AVG: 64.67s local:3/3/100%/410.0s ETA: 182s Left: 3 AVG: 65.00s local:3/3/100%/410.3s ETA: 182s Left: 3 AVG: 65.33s local:3/3/100%/410.7s ETA: 182s Left: 3 AVG: 65.67s local:3/3/100%/411.0s ETA: 182s Left: 3 AVG: 66.33s local:3/3/100%/411.7s ETA: 182s Left: 3 AVG: 66.67s local:3/3/100%/412.0s ETA: 182s Left: 3 AVG: 67.00s local:3/3/100%/412.3s ETA: 182s Left: 3 AVG: 67.33s local:3/3/100%/412.7s ETA: 182s Left: 3 AVG: 67.67s local:3/3/100%/413.0s ETA: 182s Left: 3 AVG: 68.00s local:3/3/100%/413.3s ETA: 203s Left: 3 AVG: 68.33s local:3/3/100%/413.7s ETA: 203s Left: 3 AVG: 68.67s local:3/3/100%/414.0s ETA: 203s Left: 3 AVG: 69.00s local:3/3/100%/414.3s ETA: 203s Left: 3 AVG: 69.33s local:3/3/100%/414.7s ETA: 203s Left: 3 AVG: 69.67s local:3/3/100%/415.0s ETA: 203s Left: 3 AVG: 70.33s local:3/3/100%/415.7s ETA: 203s Left: 3 AVG: 70.67s local:3/3/100%/416.0s ETA: 203s Left: 3 AVG: 71.00s local:3/3/100%/416.3s ETA: 203s Left: 3 AVG: 71.33s local:3/3/100%/416.7s ETA: 203s Left: 3 AVG: 71.67s local:3/3/100%/417.0s ETA: 203s Left: 3 AVG: 72.00s local:3/3/100%/417.3s ETA: 203s Left: 3 AVG: 72.33s local:3/3/100%/417.7s ETA: 203s Left: 3 AVG: 72.67s local:3/3/100%/418.0s ETA: 203s Left: 3 AVG: 73.00s local:3/3/100%/418.3s ETA: 203s Left: 3 AVG: 73.33s local:3/3/100%/418.7s ETA: 203s Left: 3 AVG: 74.00s local:3/3/100%/419.3s ETA: 203s Left: 3 AVG: 74.33s local:3/3/100%/419.7s ETA: 203s Left: 3 AVG: 74.67s local:3/3/100%/420.0s ETA: 203s Left: 3 AVG: 75.00s local:3/3/100%/420.3s ETA: 203s Left: 3 AVG: 75.33s local:3/3/100%/420.7s ETA: 203s Left: 3 AVG: 75.67s local:3/3/100%/421.0s ETA: 226s Left: 3 AVG: 76.00s local:3/3/100%/421.3s ETA: 226s Left: 3 AVG: 76.33s local:3/3/100%/421.7s ETA: 226s Left: 3 AVG: 76.67s local:3/3/100%/422.0s ETA: 226s Left: 3 AVG: 77.00s local:3/3/100%/422.3s ETA: 226s Left: 3 AVG: 77.67s local:3/3/100%/423.0s ETA: 226s Left: 3 AVG: 78.00s local:3/3/100%/423.3s ETA: 226s Left: 3 AVG: 78.33s local:3/3/100%/423.7s ETA: 226s Left: 3 AVG: 78.67s local:3/3/100%/424.0s ETA: 226s Left: 3 AVG: 79.00s local:3/3/100%/424.3s ETA: 226s Left: 3 AVG: 79.33s local:3/3/100%/424.7s ETA: 226s Left: 3 AVG: 79.67s local:3/3/100%/425.0s ETA: 226s Left: 3 AVG: 80.00s local:3/3/100%/425.3s ETA: 226s Left: 3 AVG: 80.33s local:3/3/100%/425.7s ETA: 226s Left: 3 AVG: 80.67s local:3/3/100%/426.0s ETA: 226s Left: 3 AVG: 81.00s local:3/3/100%/426.3s ETA: 226s Left: 3 AVG: 81.67s local:3/3/100%/427.0s ETA: 226s Left: 3 AVG: 82.00s local:3/3/100%/427.3s ETA: 226s Left: 3 AVG: 82.33s local:3/3/100%/427.7s ETA: 226s Left: 3 AVG: 82.67s local:3/3/100%/428.0s ETA: 226s Left: 3 AVG: 83.00s local:3/3/100%/428.3s ETA: 226s Left: 3 AVG: 83.33s local:3/3/100%/428.7s ETA: 226s Left: 3 AVG: 83.67s local:3/3/100%/429.0s ETA: 226s Left: 3 AVG: 84.00s local:3/3/100%/429.3s ETA: 226s Left: 3 AVG: 84.33s local:3/3/100%/429.7s ETA: 252s Left: 3 AVG: 84.67s local:3/3/100%/430.0s ETA: 252s Left: 3 AVG: 85.33s local:3/3/100%/430.7s ETA: 252s Left: 3 AVG: 85.67s local:3/3/100%/431.0s ETA: 252s Left: 3 AVG: 86.00s local:3/3/100%/431.3s ETA: 252s Left: 3 AVG: 86.33s local:3/3/100%/431.7s ETA: 252s Left: 3 AVG: 86.67s local:3/3/100%/432.0s ETA: 252s Left: 3 AVG: 87.00s local:3/3/100%/432.3s ETA: 252s Left: 3 AVG: 87.33s local:3/3/100%/432.7s ETA: 252s Left: 3 AVG: 87.67s local:3/3/100%/433.0s ETA: 252s Left: 3 AVG: 88.00s local:3/3/100%/433.3s ETA: 252s Left: 3 AVG: 88.33s local:3/3/100%/433.7s ETA: 252s Left: 3 AVG: 88.67s local:3/3/100%/434.0s ETA: 252s Left: 3 AVG: 89.33s local:3/3/100%/434.7s ETA: 252s Left: 3 AVG: 89.67s local:3/3/100%/435.0s ETA: 252s Left: 3 AVG: 90.00s local:3/3/100%/435.3s ETA: 252s Left: 3 AVG: 90.33s local:3/3/100%/435.7s ETA: 252s Left: 3 AVG: 90.67s local:3/3/100%/436.0s ETA: 252s Left: 3 AVG: 91.00s local:3/3/100%/436.3s ETA: 252s Left: 3 AVG: 91.33s local:3/3/100%/436.7s ETA: 252s Left: 3 AVG: 91.67s local:3/3/100%/437.0s ETA: 252s Left: 3 AVG: 92.00s local:3/3/100%/437.3s ETA: 252s Left: 3 AVG: 92.33s local:3/3/100%/437.7s ETA: 252s Left: 3 AVG: 92.67s local:3/3/100%/438.0s ETA: 252s Left: 3 AVG: 93.33s local:3/3/100%/438.7s ETA: 252s Left: 3 AVG: 93.67s local:3/3/100%/439.0s ETA: 280s Left: 3 AVG: 94.00s local:3/3/100%/439.3s ETA: 280s Left: 3 AVG: 94.33s local:3/3/100%/439.7s ETA: 280s Left: 3 AVG: 94.67s local:3/3/100%/440.0s ETA: 280s Left: 3 AVG: 95.00s local:3/3/100%/440.3s ETA: 280s Left: 3 AVG: 95.33s local:3/3/100%/440.7s ETA: 280s Left: 3 AVG: 95.67s local:3/3/100%/441.0s ETA: 280s Left: 3 AVG: 96.00s local:3/3/100%/441.3s ETA: 280s Left: 3 AVG: 96.33s local:3/3/100%/441.7s ETA: 280s Left: 3 AVG: 97.00s local:3/3/100%/442.3s ETA: 280s Left: 3 AVG: 97.33s local:3/3/100%/442.7s ETA: 280s Left: 3 AVG: 97.67s local:3/3/100%/443.0s ETA: 280s Left: 3 AVG: 98.00s local:3/3/100%/443.3s ETA: 280s Left: 3 AVG: 98.33s local:3/3/100%/443.7s ETA: 280s Left: 3 AVG: 98.67s local:3/3/100%/444.0s ETA: 280s Left: 3 AVG: 99.00s local:3/3/100%/444.3s ETA: 280s Left: 3 AVG: 99.33s local:3/3/100%/444.7s ETA: 280s Left: 3 AVG: 99.67s local:3/3/100%/445.0s ETA: 280s Left: 3 AVG: 100.00s local:3/3/100%/445.3s ETA: 280s Left: 3 AVG: 100.33s local:3/3/100%/446.0s ETA: 280s Left: 3 AVG: 101.00s local:3/3/100%/446.3s ETA: 280s Left: 3 AVG: 101.33s local:3/3/100%/446.7s ETA: 280s Left: 3 AVG: 101.67s local:3/3/100%/447.0s ETA: 280s Left: 3 AVG: 102.00s local:3/3/100%/447.3s ETA: 280s Left: 3 AVG: 102.33s local:3/3/100%/447.7s ETA: 280s Left: 3 AVG: 102.67s local:3/3/100%/448.0s ETA: 280s Left: 3 AVG: 103.00s local:3/3/100%/448.3s ETA: 280s Left: 3 AVG: 103.33s local:3/3/100%/448.7s ETA: 280s Left: 3 AVG: 103.67s local:3/3/100%/449.0s ETA: 280s Left: 3 AVG: 104.00s local:3/3/100%/449.3s ETA: 312s Left: 3 AVG: 104.67s local:3/3/100%/450.0s ETA: 312s Left: 3 AVG: 105.00s local:3/3/100%/450.3s ETA: 312s Left: 3 AVG: 105.33s local:3/3/100%/450.7s ETA: 312s Left: 3 AVG: 105.67s local:3/3/100%/451.0s ETA: 312s Left: 3 AVG: 106.00s local:3/3/100%/451.3s ETA: 312s Left: 3 AVG: 106.33s local:3/3/100%/451.7s ETA: 312s Left: 3 AVG: 106.67s local:3/3/100%/452.0s ETA: 312s Left: 3 AVG: 107.00s local:3/3/100%/452.3s ETA: 312s Left: 3 AVG: 107.33s local:3/3/100%/452.7s ETA: 312s Left: 3 AVG: 107.67s local:3/3/100%/453.0s ETA: 312s Left: 3 AVG: 108.00s local:3/3/100%/453.3s ETA: 312s Left: 3 AVG: 108.67s local:3/3/100%/454.0s ETA: 312s Left: 3 AVG: 109.00s local:3/3/100%/454.3s ETA: 312s Left: 3 AVG: 109.33s local:3/3/100%/454.7s ETA: 312s Left: 3 AVG: 109.67s local:3/3/100%/455.0s ETA: 312s Left: 3 AVG: 110.00s local:3/3/100%/455.3s ETA: 312s Left: 3 AVG: 110.33s local:3/3/100%/455.7s ETA: 312s Left: 3 AVG: 110.67s local:3/3/100%/456.0s ETA: 312s Left: 3 AVG: 111.00s local:3/3/100%/456.3s ETA: 312s Left: 3 AVG: 111.33s local:3/3/100%/456.7s ETA: 312s Left: 3 AVG: 111.67s local:3/3/100%/457.0s ETA: 312s Left: 3 AVG: 112.00s local:3/3/100%/457.3s ETA: 312s Left: 3 AVG: 112.67s local:3/3/100%/458.0s ETA: 312s Left: 3 AVG: 113.00s local:3/3/100%/458.3s ETA: 312s Left: 3 AVG: 113.33s local:3/3/100%/458.7s ETA: 312s Left: 3 AVG: 113.67s local:3/3/100%/459.0s ETA: 312s Left: 3 AVG: 114.00s local:3/3/100%/459.3s ETA: 312s Left: 3 AVG: 114.33s local:3/3/100%/459.7s ETA: 312s Left: 3 AVG: 114.67s local:3/3/100%/460.0s ETA: 312s Left: 3 AVG: 115.00s local:3/3/100%/460.3s ETA: 312s Left: 3 AVG: 115.33s local:3/3/100%/460.7s ETA: 312s Left: 3 AVG: 115.67s local:3/3/100%/461.0s ETA: 347s Left: 3 AVG: 116.33s local:3/3/100%/461.7s ETA: 347s Left: 3 AVG: 116.67s local:3/3/100%/462.0s ETA: 347s Left: 3 AVG: 117.00s local:3/3/100%/462.3s ETA: 347s Left: 3 AVG: 117.33s local:3/3/100%/462.7s ETA: 347s Left: 3 AVG: 117.67s local:3/3/100%/463.0s ETA: 347s Left: 3 AVG: 118.00s local:3/3/100%/463.3s ETA: 347s Left: 3 AVG: 118.33s local:3/3/100%/463.7s ETA: 347s Left: 3 AVG: 118.67s local:3/3/100%/464.0s ETA: 347s Left: 3 AVG: 119.00s local:3/3/100%/464.3s ETA: 347s Left: 3 AVG: 119.33s local:3/3/100%/464.7s ETA: 347s Left: 3 AVG: 119.67s local:3/3/100%/465.0s ETA: 347s Left: 3 AVG: 120.33s local:3/3/100%/465.7s ETA: 347s Left: 3 AVG: 120.67s local:3/3/100%/466.0s ETA: 347s Left: 3 AVG: 121.00s local:3/3/100%/466.3s ETA: 347s Left: 3 AVG: 121.33s local:3/3/100%/466.7s ETA: 347s Left: 3 AVG: 121.67s local:3/3/100%/467.0s ETA: 347s Left: 3 AVG: 122.00s local:3/3/100%/467.3s ETA: 347s Left: 3 AVG: 122.33s local:3/3/100%/467.7s ETA: 347s Left: 3 AVG: 122.67s local:3/3/100%/468.0s ETA: 347s Left: 3 AVG: 123.00s local:3/3/100%/468.3s ETA: 347s Left: 3 AVG: 123.33s local:3/3/100%/468.7s ETA: 347s Left: 3 AVG: 124.00s local:3/3/100%/469.3s ETA: 347s Left: 3 AVG: 124.33s local:3/3/100%/469.7s ETA: 347s Left: 3 AVG: 124.67s local:3/3/100%/470.0s ETA: 347s Left: 3 AVG: 125.00s local:3/3/100%/470.3s ETA: 347s Left: 3 AVG: 125.33s local:3/3/100%/470.7s ETA: 347s Left: 3 AVG: 125.67s local:3/3/100%/471.0s ETA: 347s Left: 3 AVG: 126.00s local:3/3/100%/471.3s ETA: 347s Left: 3 AVG: 126.33s local:3/3/100%/471.7s ETA: 347s Left: 3 AVG: 126.67s local:3/3/100%/472.0s ETA: 347s Left: 3 AVG: 127.00s local:3/3/100%/472.3s ETA: 347s Left: 3 AVG: 127.33s local:3/3/100%/473.0s ETA: 347s Left: 3 AVG: 128.00s local:3/3/100%/473.3s ETA: 347s Left: 3 AVG: 128.33s local:3/3/100%/473.7s ETA: 347s Left: 3 AVG: 128.67s local:3/3/100%/474.0s ETA: 347s Left: 3 AVG: 129.00s local:3/3/100%/474.3s ETA: 386s Left: 3 AVG: 129.33s local:3/3/100%/474.7s ETA: 386s Left: 3 AVG: 129.67s local:3/3/100%/475.0s ETA: 386s Left: 3 AVG: 130.00s local:3/3/100%/475.3s ETA: 386s Left: 3 AVG: 130.33s local:3/3/100%/475.7s ETA: 386s Left: 3 AVG: 130.67s local:3/3/100%/476.0s ETA: 386s Left: 3 AVG: 131.00s local:3/3/100%/476.3s ETA: 386s Left: 3 AVG: 131.67s local:3/3/100%/477.0s ETA: 386s Left: 3 AVG: 132.00s local:3/3/100%/477.3s ETA: 386s Left: 3 AVG: 132.33s local:3/3/100%/477.7s ETA: 386s Left: 3 AVG: 132.67s local:3/3/100%/478.0s ETA: 386s Left: 3 AVG: 133.00s local:3/3/100%/478.3s ETA: 386s Left: 3 AVG: 133.33s local:3/3/100%/478.7s ETA: 386s Left: 3 AVG: 133.67s local:3/3/100%/479.0s ETA: 386s Left: 3 AVG: 134.00s local:3/3/100%/479.3s ETA: 386s Left: 3 AVG: 134.33s local:3/3/100%/479.7s ETA: 386s Left: 3 AVG: 134.67s local:3/3/100%/480.0s ETA: 386s Left: 3 AVG: 135.00s local:3/3/100%/480.3s ETA: 386s Left: 3 AVG: 135.67s local:3/3/100%/481.0s ETA: 386s Left: 3 AVG: 136.00s local:3/3/100%/481.3s ETA: 386s Left: 3 AVG: 136.33s local:3/3/100%/481.7s ETA: 386s Left: 3 AVG: 136.67s local:3/3/100%/482.0s ETA: 386s Left: 3 AVG: 137.00s local:3/3/100%/482.3s ETA: 386s Left: 3 AVG: 137.33s local:3/3/100%/482.7s ETA: 386s Left: 3 AVG: 137.67s local:3/3/100%/483.0s ETA: 386s Left: 3 AVG: 138.00s local:3/3/100%/483.3s ETA: 386s Left: 3 AVG: 138.33s local:3/3/100%/483.7s perf script -i /home/motiejus/llvm-nosandbox.data | inferno-collapse-perf | inferno-flamegraph > results/llvm-nosandbox.svg Warning: -Processed 326754 events and lost 122 chunks! +Processed 365461 events and lost 357 chunks! Check IO/CPU overload! - ETA: 155s Left: 2 AVG: 70.00s local:2/4/100%/210.8s ETA: 145s Left: 2 AVG: 70.00s local:2/4/100%/210.8s ETA: 142s Left: 2 AVG: 70.25s local:2/4/100%/211.0s ETA: 141s Left: 2 AVG: 70.25s local:2/4/100%/211.0s ETA: 141s Left: 2 AVG: 70.50s local:2/4/100%/211.2s ETA: 141s Left: 2 AVG: 70.75s local:2/4/100%/211.5s ETA: 141s Left: 2 AVG: 70.75s local:2/4/100%/211.5s ETA: 141s Left: 2 AVG: 71.00s local:2/4/100%/211.8s ETA: 142s Left: 2 AVG: 71.25s local:2/4/100%/212.0s ETA: 142s Left: 2 AVG: 71.50s local:2/4/100%/212.2s ETA: 143s Left: 2 AVG: 71.75s local:2/4/100%/212.5s ETA: 143s Left: 2 AVG: 72.00s local:2/4/100%/212.8s ETA: 144s Left: 2 AVG: 72.25s local:2/4/100%/213.0s ETA: 145s Left: 2 AVG: 72.75s local:2/4/100%/213.5s ETA: 145s Left: 2 AVG: 73.00s local:2/4/100%/213.8s ETA: 146s Left: 2 AVG: 73.25s local:2/4/100%/214.0s ETA: 146s Left: 2 AVG: 73.50s local:2/4/100%/214.2s ETA: 147s Left: 2 AVG: 73.75s local:2/4/100%/214.5s ETA: 147s Left: 2 AVG: 74.00s local:2/4/100%/214.8s ETA: 148s Left: 2 AVG: 74.25s local:2/4/100%/215.0s ETA: 148s Left: 2 AVG: 74.50s local:2/4/100%/215.2s ETA: 149s Left: 2 AVG: 74.75s local:2/4/100%/215.5s ETA: 149s Left: 2 AVG: 75.00s local:2/4/100%/215.8s ETA: 150s Left: 2 AVG: 75.25s local:2/4/100%/216.0s ETA: 150s Left: 2 AVG: 75.50s local:2/4/100%/216.2s ETA: 151s Left: 2 AVG: 75.75s local:2/4/100%/216.5s ETA: 151s Left: 2 AVG: 76.00s local:2/4/100%/216.8s ETA: 152s Left: 2 AVG: 76.25s local:2/4/100%/217.0s ETA: 152s Left: 2 AVG: 76.50s local:2/4/100%/217.2s ETA: 153s Left: 2 AVG: 76.75s local:2/4/100%/217.5s ETA: 153s Left: 2 AVG: 77.00s local:2/4/100%/217.8s ETA: 154s Left: 2 AVG: 77.50s local:2/4/100%/218.2s ETA: 155s Left: 2 AVG: 77.75s local:2/4/100%/218.5s ETA: 155s Left: 2 AVG: 78.00s local:2/4/100%/218.8s ETA: 156s Left: 2 AVG: 78.25s local:2/4/100%/219.0s ETA: 156s Left: 2 AVG: 78.25s local:2/4/100%/219.0s perf script -i /home/motiejus/llvm-reuse-sandbox-dirs.data | inferno-collapse-perf | inferno-flamegraph > results/llvm-reuse-sandbox-dirs.svg + ETA: 230s Left: 2 AVG: 103.75s local:2/4/100%/362.8s ETA: 215s Left: 2 AVG: 103.75s local:2/4/100%/362.8s ETA: 210s Left: 2 AVG: 103.75s local:2/4/100%/362.8s ETA: 208s Left: 2 AVG: 104.00s local:2/4/100%/363.0s ETA: 208s Left: 2 AVG: 104.25s local:2/4/100%/363.2s ETA: 208s Left: 2 AVG: 104.25s local:2/4/100%/363.2s ETA: 208s Left: 2 AVG: 104.50s local:2/4/100%/363.5s ETA: 208s Left: 2 AVG: 104.75s local:2/4/100%/363.8s ETA: 208s Left: 2 AVG: 105.00s local:2/4/100%/364.0s ETA: 208s Left: 2 AVG: 105.25s local:2/4/100%/364.2s ETA: 208s Left: 2 AVG: 105.50s local:2/4/100%/364.5s ETA: 208s Left: 2 AVG: 105.75s local:2/4/100%/364.8s ETA: 208s Left: 2 AVG: 106.00s local:2/4/100%/365.0s ETA: 208s Left: 2 AVG: 106.25s local:2/4/100%/365.2s ETA: 208s Left: 2 AVG: 106.50s local:2/4/100%/365.5s ETA: 208s Left: 2 AVG: 106.75s local:2/4/100%/365.8s ETA: 208s Left: 2 AVG: 107.00s local:2/4/100%/366.0s ETA: 208s Left: 2 AVG: 107.50s local:2/4/100%/366.5s ETA: 208s Left: 2 AVG: 107.75s local:2/4/100%/366.8s ETA: 208s Left: 2 AVG: 108.00s local:2/4/100%/367.0s ETA: 208s Left: 2 AVG: 108.25s local:2/4/100%/367.2s ETA: 208s Left: 2 AVG: 108.50s local:2/4/100%/367.5s ETA: 208s Left: 2 AVG: 108.75s local:2/4/100%/367.8s ETA: 208s Left: 2 AVG: 109.00s local:2/4/100%/368.0s ETA: 208s Left: 2 AVG: 109.25s local:2/4/100%/368.2s perf script -i /home/motiejus/llvm-reuse-sandbox-dirs.data | inferno-collapse-perf | inferno-flamegraph > results/llvm-reuse-sandbox-dirs.svg Warning: -Processed 523396 events and lost 131 chunks! +Processed 454874 events and lost 337 chunks! Check IO/CPU overload! - ETA: 65s Left: 1 AVG: 62.60s local:1/5/100%/175.2s ETA: 63s Left: 1 AVG: 62.60s local:1/5/100%/175.2s ETA: 62s Left: 1 AVG: 62.80s local:1/5/100%/175.4s ETA: 62s Left: 1 AVG: 62.80s local:1/5/100%/175.4s ETA: 62s Left: 1 AVG: 63.00s local:1/5/100%/175.6s ETA: 63s Left: 1 AVG: 63.20s local:1/5/100%/175.8s ETA: 63s Left: 1 AVG: 63.20s local:1/5/100%/175.8s ETA: 63s Left: 1 AVG: 63.40s local:1/5/100%/176.0s perf script -i /home/motiejus/llvm.data | inferno-collapse-perf | inferno-flamegraph > results/llvm.svg + ETA: 91s Left: 1 AVG: 87.40s local:1/5/100%/294.6s ETA: 88s Left: 1 AVG: 87.40s local:1/5/100%/294.6s ETA: 87s Left: 1 AVG: 87.40s local:1/5/100%/294.6s ETA: 87s Left: 1 AVG: 87.60s local:1/5/100%/294.8s ETA: 87s Left: 1 AVG: 87.80s local:1/5/100%/295.0s ETA: 87s Left: 1 AVG: 87.80s local:1/5/100%/295.0s ETA: 87s Left: 1 AVG: 88.00s local:1/5/100%/295.2s ETA: 87s Left: 1 AVG: 88.20s local:1/5/100%/295.4s ETA: 87s Left: 1 AVG: 88.40s local:1/5/100%/295.6s ETA: 87s Left: 1 AVG: 88.60s local:1/5/100%/295.8s ETA: 87s Left: 1 AVG: 88.80s local:1/5/100%/296.0s ETA: 87s Left: 1 AVG: 89.00s local:1/5/100%/296.2s ETA: 87s Left: 1 AVG: 89.20s local:1/5/100%/296.4s ETA: 87s Left: 1 AVG: 89.40s local:1/5/100%/296.6s ETA: 87s Left: 1 AVG: 89.60s local:1/5/100%/296.8s ETA: 87s Left: 1 AVG: 89.80s local:1/5/100%/297.0s ETA: 87s Left: 1 AVG: 90.00s local:1/5/100%/297.2s ETA: 87s Left: 1 AVG: 90.20s local:1/5/100%/297.4s ETA: 87s Left: 1 AVG: 90.40s local:1/5/100%/297.6s ETA: 87s Left: 1 AVG: 90.60s local:1/5/100%/297.8s ETA: 87s Left: 1 AVG: 90.80s local:1/5/100%/298.0s ETA: 87s Left: 1 AVG: 91.00s local:1/5/100%/298.2s ETA: 87s Left: 1 AVG: 91.20s local:1/5/100%/298.4s ETA: 87s Left: 1 AVG: 91.40s local:1/5/100%/298.6s ETA: 87s Left: 1 AVG: 91.80s local:1/5/100%/299.0s ETA: 87s Left: 1 AVG: 92.00s local:1/5/100%/299.2s ETA: 87s Left: 1 AVG: 92.20s local:1/5/100%/299.4s ETA: 87s Left: 1 AVG: 92.40s local:1/5/100%/299.6s ETA: 87s Left: 1 AVG: 92.60s local:1/5/100%/299.8s ETA: 87s Left: 1 AVG: 92.80s local:1/5/100%/300.0s ETA: 87s Left: 1 AVG: 93.00s local:1/5/100%/300.2s ETA: 87s Left: 1 AVG: 93.20s local:1/5/100%/300.4s ETA: 87s Left: 1 AVG: 93.40s local:1/5/100%/300.6s ETA: 87s Left: 1 AVG: 93.60s local:1/5/100%/300.8s ETA: 87s Left: 1 AVG: 93.80s local:1/5/100%/301.0s ETA: 87s Left: 1 AVG: 94.00s local:1/5/100%/301.2s ETA: 87s Left: 1 AVG: 94.20s local:1/5/100%/301.4s ETA: 87s Left: 1 AVG: 94.40s local:1/5/100%/301.6s ETA: 87s Left: 1 AVG: 94.60s local:1/5/100%/301.8s ETA: 87s Left: 1 AVG: 94.80s local:1/5/100%/302.0s ETA: 87s Left: 1 AVG: 95.00s local:1/5/100%/302.2s ETA: 87s Left: 1 AVG: 95.20s local:1/5/100%/302.4s ETA: 87s Left: 1 AVG: 95.40s local:1/5/100%/302.6s ETA: 87s Left: 1 AVG: 95.60s local:1/5/100%/302.8s ETA: 87s Left: 1 AVG: 95.80s local:1/5/100%/303.0s ETA: 87s Left: 1 AVG: 96.00s local:1/5/100%/303.2s ETA: 87s Left: 1 AVG: 96.20s local:1/5/100%/303.4s ETA: 87s Left: 1 AVG: 96.40s local:1/5/100%/303.6s ETA: 87s Left: 1 AVG: 96.60s local:1/5/100%/303.8s ETA: 87s Left: 1 AVG: 96.80s local:1/5/100%/304.0s ETA: 97s Left: 1 AVG: 97.20s local:1/5/100%/304.4s ETA: 97s Left: 1 AVG: 97.20s local:1/5/100%/304.4s perf script -i /home/motiejus/llvm.data | inferno-collapse-perf | inferno-flamegraph > results/llvm.svg Warning: -Processed 2623838 events and lost 258 chunks! +Processed 2503219 events and lost 273 chunks! Check IO/CPU overload! - ETA: 0s Left: 0 AVG: 52.83s local:0/6/100%/146.7s ETA: 0s Left: 0 AVG: 52.83s local:0/6/100%/146.7s + ETA: 0s Left: 0 AVG: 81.00s local:0/6/100%/253.7s ETA: 0s Left: 0 AVG: 81.00s local:0/6/100%/253.7s diff --git a/results/zigcc-nosandbox.svg b/results/zigcc-nosandbox.svg index c05ea46..9485dd4 100644 --- a/results/zigcc-nosandbox.svg +++ b/results/zigcc-nosandbox.svg @@ -1,8 +1,7 @@ - \ No newline at end of file diff --git a/results/zigcc-reuse-sandbox-dirs.svg b/results/zigcc-reuse-sandbox-dirs.svg index 2e73ef1..c64e8b4 100644 --- a/results/zigcc-reuse-sandbox-dirs.svg +++ b/results/zigcc-reuse-sandbox-dirs.svg @@ -1,8 +1,7 @@ - \ No newline at end of file diff --git a/results/zigcc.svg b/results/zigcc.svg index f86372a..8b55ce6 100644 --- a/results/zigcc.svg +++ b/results/zigcc.svg @@ -1,8 +1,7 @@ - \ No newline at end of file